Browse > Article

An Non-Scan DFT Scheme for RTL Circuit Datapath  

Chang, Hoon (School of Computing, Soongsil Univ)
Yang, Sun-Woong (Dept of Computing, Soongsil Univ)
Park, Jae-Heung (Dept of Computing, Soongsil Univ)
Kim, Moon-Joon (Dept of Computing, Soongsil Univ)
Shim, Jae-Hun (Dept of Computing, Soongsil Univ)
Publication Information
Abstract
In this paper, An efficient non-scan DFT method for datapaths described in RTL is proposed. The proposed non-scan DFT method improves testability of datapaths based on hierarchical testability analysis regardless to width of the datapath. It always guarantees higher fault efficiency and faster test pattern generation time with little hardware overhead than previous methods. The experimental result shows the superiority of the proposed method of test pattern generation time, application time, and area overhead compared to the scan method.
Keywords
Non-Scan DFT; FCDFG; Controllability; Obversability;
Citations & Related Records
연도 인용수 순위
  • Reference
1 I. Ghosh, A. Raghunathan and N. Jha, 'Design for Hierarchical Testability of RTL Circuits Obtained by Behavioral Synthesis,' International Conference on Computer Design : VLSI in Computers and processors, pp. 173-179, 1995
2 R. S. Fetherson, I. P. Shak and S. C. Ma, 'Testability Features of AMD-K6TM Microprocessor,' IEEE Design & Test of Computers, pp. 64-69, 1998   DOI   ScienceOn
3 S. Bhattacharya, F.Brglez and S. Dey, 'Transfornations and Resynthesis for Testability of RTL Control-Data Path Specifications,' IEEE Trans. VLSI Syst., vol. 1, pp. 304-318, Sept. 1993   DOI   ScienceOn
4 D. Bhavsar, D. Akeson, M. Growan and D. Jackson, 'Testability Access of the High Speed Test Features in the Alpha 21264 Microprocessor,' International Test Conference, pp. 487-495, 1998
5 V. Fernandez and P. Sanchez, 'High-level test synthesis based on controller redefinition,' IEE Electronics Letters, pp.1596 -1597, 1997   DOI   ScienceOn
6 I. Ghosh, N. K. Jha, S. Bhawmik, 'A BIST Scheme for RTL Circuits Based on Sysmbolic Testability Analysis,' IEEE Trans. on CAD, vol. 19, no.1, pp. 111-128, Jan. 2000   DOI   ScienceOn
7 S. Bhattacharya, S. Dey, 'H_SCAN : A High Level Alternative to Full-Scan Testing with Reduced Area and Test Application Overheads,' in Proc. VLSI Test Symp., pp. 74-80, 1996   DOI
8 Mike Tien and Chien Lee, High-Level Test Syntheis of Dighital VLSI Cricuits, Artech House, 1997
9 B. Norwood and J. McCluskey, 'Orthogonal SCAN : Low Overhead SCAN for Data Paths,' International Test Conference, pp. 659-668, 1996   DOI
10 S. Dey, V. Gangram and M. Potkonjak, 'A Controller-Based Design-for-Testability Technique for Controller-Data Path Circuits,' International Conference on Computer-Aided Design, pp. 534-540, 1995   DOI
11 I. Ghosh, 'A Design-for-Testability Technique for Register-Transfer Level Circuits Using Control/Data Flow Extraction,' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 706-723, 1998   DOI   ScienceOn
12 S. Ohtake, S. Nagia, H. Wada and H. Fujiwara, 'A DFT Method for RTL Circuits to Achieve Complete Fault Efficiency Based on Fixed-control Testability,' Asia and South Pacific Design Automation Conference, pp. 331-334, 2001   DOI