A Newly Developed Mixed-Mode BIST

효율적인 혼합 BIST 방법

  • 김현돈 (연세대학교 전기전자공학과) ;
  • 신용승 (연세대학교 전기전자공학과) ;
  • 김용준 (연세대학교 전기전자공학과) ;
  • 강성호 (연세대학교 전기전자공학과)
  • Published : 2003.08.01

Abstract

Recently, many deterministic built-in self-test schemes to reduce test time have been researched. These schemes can achieve a good quality test by shortening the whole test process, but require complex algorithms or much hardware. In this paper, a new deterministic BIST scheme is provided that reduces the additional hardware requirements, as well as keeping test time to a minimum. The proposed BIST (Built-In Self-Test) methodology brings about the reduction of the hardware requirements for pseudo-random tests as well. Theoretical study demonstrates the possibility of reducing the hardware requirements for both pseudo-random and deterministic tests, with some explanations and examples. Experimental results show that in the proposed test scheme the hardware requirements for the pseudo-random test and deterministic test are less than in previous research.

테스터를 사용하는 테스트 방법이 매우 비싸고 동작속도에서의 테스트가 어려운 상황에서 BIST의 출현 은 이러한 난점을 해결하는 좋은 방법이다. 하지만, 이러한 BIST에도 해결해야 할 문제점들이 많다. 의사 무작위 테스트시 패턴 카운터와 비트 카운터의 역할이 단순히 카운팅만 하는데 한정되어 있으므로 이들 카운터를 패턴을 생성하는 역할에도 이용함으로써 BIST의 효율을 증대시키고자 한다. 새로운 BIST 구조는 LFSR이 아닌 카운터로 패턴을 생성하고 LFSR로 이의 동작을 무작위하게 또는 의도적으로 조정함으로써 다른 테스트 성능의 저하 없이 테스트 하드웨어를 축소하는 방법을 제안한다. 결정 테스트를 위한 하드웨어가 너무 크게 되는 단점을 해결하고자 본 논문에서의 실험은 실험결과에서 의사 무작위 테스트와 결정 테스트의 성능을 고장검출을, 테스트 시간과 하드웨어 관련 인자들로 표현한다.

Keywords

References

  1. M. Abramovici, M. A. Breuer and A. D. Fiedman, Digital Systems Testing and Testable Design, Computer Science Press, 1990
  2. B. Pouya and N. A. Touba, 'Synthesis of zeroaliasing elementary-tree space compactors', in Proc. IEEE VLSI Test Symp., 1998, pp. 70-77 https://doi.org/10.1109/VTEST.1998.670851
  3. N. A. Touba and E. J. McCluskey, 'Bit-fixing in pseudorandom sequences for scan BIST', IEEE Trans. Computer-Aided Design, vol. 20, 2001, pp, 545-555 https://doi.org/10.1109/43.918212
  4. G. Kiefer and H. J. Wunderlich, 'Using BIST Control for Pattern Generation', in Proc. Int. Test Conf., 1997, pp. 347-355 https://doi.org/10.1109/TEST.1997.639636
  5. K. Chakrabarty, B. T. Murray and V. Iyengar, 'Deterministic Built-In Self Test Pattern Generation for High-Performance Circuits using Twisted-Ring Counters', IEEE Trans. VLSI Systems, vol. 8, no. 5, 2000, pp. 633-636 https://doi.org/10.1109/92.894170
  6. C. Fagot, P. Girard and C. Landrault, 'On Using Machine Learning for Logic BIST', III Proc. Int. Test Conf., 1997, pp. 338-346 https://doi.org/10.1109/TEST.1997.639635
  7. S. Hellebrand, H. G. Liang and H. J. Wunderlich, 'A Mixed Mode BIST Scheme Based On Reseeding of Folding Counters', in Proc. Int. Test Conf., 2000, pp. 778-784 https://doi.org/10.1109/TEST.2000.894274
  8. G. Kiefer, H. Wunderlich: 'Deterministic BIST with Multiple Scan Chains', in Proc. Int. Conf., 1998, pp. 1057-1064 https://doi.org/10.1109/TEST.1998.743304
  9. C. Fagot, O. Gascuel, P. Girard, C. Landrault, 'On Calculating Efficient LFSR Seeds for Built-In Self Test', Test Workshop. European, 1999, pp. 7-14 https://doi.org/10.1109/ETW.1999.803819
  10. N. A. Touba, E. J. McCluskey, 'Altering a pseudo-random bit sequence for scan-based BIST', in Proc. Int. Test Conf., 1996, pp. 167-175 https://doi.org/10.1109/TEST.1996.556959
  11. S. B. Akers and W. Jansz, 'Test Set Embedding in Built-In Self-Test Environment', in Proc. Int. Test Conf., 1989, pp. 257-263 https://doi.org/10.1109/TEST.1989.82306
  12. S. Hellebrand, H. -J. Wunderlich, O. F. Haberl, 'Generating Pseudo-Exhaustive Vectors for External Testing', in Proc. Int. Test Conf., 1990, pp. 670-679 https://doi.org/10.1109/TEST.1990.114082
  13. H. S. Kim, J. K. Lee, S. H. Rang, 'A new multiple weight set calculation algorithm', in Proc. Int. Test Conf., 2001, pp. 878-884 https://doi.org/10.1109/TEST.2001.966710
  14. S. Wang, 'Low hardware overhead scan based 3-weight weighted random BIST', Proc. Int. Test Conf., 2001, pp. 868-877 https://doi.org/10.1109/TEST.2001.966709
  15. H. Lee, S. Rang, 'A new weight set generation algorithm for weighted random pattern generation,' in Proc. Int. Test Conf., 1999, pp. 160-165 https://doi.org/10.1109/ICCD.1999.808421
  16. C. V. Krishna, A. Jas, N. A. Touba, 'Test vector encoding using partial LFSR reseeding', in Proc. Int. Test Conf., 2001, pp. 885-893 https://doi.org/10.1109/TEST.2001.966711
  17. E. Kalligeros, X. Kavousianos, D. Bakalis, D. Nikolos, 'New reseeding technique for LFSR-based test pattern generation', On-line Testing Workshop, 2001, pp. 80-86 https://doi.org/10.1109/OLT.2001.937823
  18. S. Hellebrand, J. Rajski, S. Tarnick, S. Venkataraman, B. Courtois, 'Built-in test for circuits with scan based on reseeding of multiple-polynomial linear feedback shift registers', IEEE Trans. Comput., 1995, pp. 223-233 https://doi.org/10.1109/12.364534
  19. S. Hellebrand, S. Tarnick, J. Raj ski, B. Courtois, 'Generation of vector patterns through reseeding of multiple-polynomial linear feedback shift registers', in Proc. Int. Test Conf., 1992, pp. 120-129