고속 집적회로 시스템 설계에서 혼선잡음 최적화에 관한 연구

Crosstalk optimization in high speed VLSI systems

  • 김기범 (한양대학교 컴퓨터공학과) ;
  • 신현철 (한양대학교 컴퓨터공학과)
  • 발행 : 2003.06.01

초록

집적회로 시스템이 고집적화 됨에 따라 interconnection에서 인접한 두 신호선 에서 발생하는 cross-coupling capacitance에 의한 혼선잡음 때문에 logic fault나 delay fault가 일어날 수 있다. 현재 산업체에서 혼선잡음문제를 미리 발견하고 예방하는 방법이 없어서 모든 설계가 끝난 후 일일이 손으로 확인을 하고 사양을 만족하지 못하는 경우에는 설계수정을 하는 경우가 많았다 본 논문에서는 두 신호선간의 거리, 입력신호의 slew rate, 신호선의 두께, 신호선의 길이가 혼선잡음에 미치는 영향을 분석하고, 혼선잡음을 발생시키는 여러 요소에 대한 해결방안을 정리하여 제시하였고, noise에 대한 값을 table로 정형화하여 설계 최적화를 쉽게 수행할 수 있도록 하였다.

As VLSI systems become integrated at large-scale, logic fault or delay fault may result from crosstalk noise originated from cross coupling capacitance which exists between two adjacent wires. Because designers in industry do not have means to prevent crosstalk problems, they should check and adjust unsatisfactory designs after all designs are completed, if necessary. In this paper, we analyze how spacing, slew rate, line width, and line length influence the crosstalk, and suggest some solutions for the various factors that nay cause crosstalk problems. we also propose how to optimize the designs by using standardization of noise tables.

키워드

참고문헌

  1. C.L. Phillips, Signals, systems and Transforms, 2nd ed. Englewood Cliffs, NJ: Prentice-Hall, 1999, ch. 7
  2. M. Kuhlmann, S. S. Sapatnekar. 'Extract and Efficient Crosstalk Estimation', IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. Vol. 20. No. 7. July 2001 pp 858-866 https://doi.org/10.1109/43.931008
  3. J. Cong, D. Z. Pan and P. V. Srinivas. ' Improved Crosstalk Modeling for Noise Constrained Interconnect Optimization', Design Automation Conference, 2001. proceedings of the ASP-DAC 2001. pp 373-378 https://doi.org/10.1145/370155.370414
  4. A. B. Kahng, S. Muddu, and E. Sarto. 'On Switch Factor Based Analysis of Coupled RC Interconnects', Design Automation Conference, 2000. Proceedings 2000 pp 79-84
  5. 양재석, 김정열, 최준호, 유문현, 공정택, 'Deep Submicron 설계의 interconnect 모델링 자동 비교 분석기(IntView) 개발', 2001 SOC Design conference Volumel pp 775-780
  6. T. Gao and C. L. Liu 'Minimum Crosstalk Channel Routing', Computer -Aided Design of Integrated Circuits and Systems, IEEE Transaction on, volume: 15 Issue: 5, May 1996 pp 465-474 https://doi.org/10.1109/43.506134
  7. J. Cong and D.Z. Pan, 'Interconnect Estimation and Planning for Deep Submicron Designs', Proc. DAC, pp 507-510 https://doi.org/10.1109/DAC.1999.781368
  8. Star-Hspice Manual Volume 4 Applications and Examples v.1999.2 Appendix B. Ideal and Lumped Transmission Lines
  9. F. Garcia, P. coll, and D. Auvergne. 'Design of a Slew Rate Controlled Output Buffer', ASIC Conference 1998. proceedings. Eleventh Annual IEEE International, 1998 https://doi.org/10.1109/ASIC.1998.722821
  10. K. LEUNG 'Controlled Slew rate Output Buffer', IEEE 1988 custom Integrated Circuits Conference