A Low Power Resource Allocation and Scheduling Algorithm for High Level Synthesis

상위 레벨 합성을 위한 저 전력 스케줄링 및 자원할당 알고리즘

  • 신무경 (세명대학교 대학원 전산정보학과) ;
  • 인치호 (세명대학교 컴퓨터과학과)
  • Published : 2001.09.01

Abstract

This paper proposes a low power resource allocation and scheduling algorithm that minimized power consumption such as DSP circuit in high-level synthesis process. In this paper, we have used list-scheduling method for low power design in scheduling step. Also, it increase possibility to reuse input through resource sharing when assign resource. After scheduling, the resources allocation uses the power function in consideration of the result of calculating average hamming distances and switching activity between two input. First, it obtain switching activity about input value after calculate average hamming distances between two operator and find power value make use of bit pattern of the input value. Resource allocation process assign operator to minimize average hamming distance and power dissipation on all occasions which is allocated at each control step according to increase control step. As comparing the existed method, the execution time becomes fast according to number of operator and be most numberous control step. And in case of power that consume, there is decrease effect from 6% to 8% to be small.

본 논문은 상위 레벨 합성 과정에서 DSP와 같은 회로를 대상으로 전력소모를 최소로 하는 스케줄링 및 자원할당 알고리즘을 제안한다. 본 논문에서는 스케줄링 시의 저 전력 설계는 리스트 스케줄링 방법을 이용한다. 그리고 자원공유를 통하여 자원할당 시 입력을 재 사용할 수 있는 가능성을 증가시킨다. 스케줄링 후 자원할당 방법은 두 입력 사이의 평균 해밍 거리와 교환동작을 계산한 결과값을 고려하여 전력 함수를 이용한다. 먼저 두 연산자 사이의 평균 해밍 거리를 계산한 후 입력 값에 대한 교환동작을 구하며, 입력 값의 비트 패턴을 이용하여 전력 값을 구한다. 자원 할당 과정은 제어 단계를 한 단계 씩 증가시키면서 각 제어 단계에서 할당 될 수 있는 모든 경우들에 대하여 평균 해밍 거리가 가장 적고 전력 함수에 의한 전력이 가장 적게 소비되는 연산자를 할당한다. 기존 방법과 비교했을 때 그 수행속도는 사용하는 연산자의 개수와 최다 제어 단계에 따라서 빨라진다. 그리고 소모하는 전력이 6%에서 8%까지 감소효과가 있었다.

Keywords

References

  1. M. C. Mcfarland, A. C. Parker and R. Camposano, 'The High Level Synthesis of Digital Systems,' Proceedings of the IEEE, Vol.78, No.2, Feb., 1990 https://doi.org/10.1109/5.52214
  2. D. Gajski and N. Dutt, 'High-level Synthesis : Introduction to Chip and System Design,' Kluwer Academic Publishers1992
  3. Micheli, G. D., 'Synthesis and Optimization of Digital Circuits,' Mc-Graw Hill, Inc., New York, 1994
  4. Jui-Ming Chang and Massound Pedram, 'Low Power Register Allocation and Binding Power,' Proc. of 32nd Design Automatic Conference, pp.29-35, 1995 https://doi.org/10.1109/DAC.1995.250019
  5. Raghunatan, A. and Jha, N. K., 'An ILP Formulation for Low Power Based on Minimizing Switched Capacitance during Data-path Allocation,' Proc. of the IEEE International Symposium on Circuits and Systems, pp.1069-1073, 1995 https://doi.org/10.1109/ISCAS.1995.520331
  6. A. P. Chandrakasan, M. Potkonjak, R. Mehra, J. Rabaey and R. W. Brodersen, 'Optimizing power using transformation,' IEEE Tr. on CAD/ICAS, pp.12-31, Jan., 1995 https://doi.org/10.1109/43.363126
  7. W. T. Shiue and C. Chakrabarti, 'Low-Power Scheduling with Resources Operating at Multiple Voltages,' IEEE Transactions on Circuits and Systmes, Vol.47, No.6, pp.536-543, 2000 https://doi.org/10.1109/82.847069
  8. Y. R. Lin, C. T. Hwang and A. C.-h. Wu, 'Scheduling techniques for variable voltage Low Power Design,' ACM Trans. Design Automat. Electro. Syst., Vol.2, No.2, pp.81-97, April, 1997 https://doi.org/10.1145/253052.253054
  9. E. Musoll and J. Cortadella, 'Scheduling and Resource Binding for Low Power,' Proceedings of International Symposium on System Synthesis, pp.104-109, Apr., 1995 https://doi.org/10.1109/ISSS.1995.520620
  10. Y. Fang, A. Alticki, 'Joint Scheduling and allocation for Low Power,' Int'l Symposium on Circuits & Systems, pp.556-559, May, 1996 https://doi.org/10.1109/ISCAS.1996.542084
  11. Massoud Pedram, 'Power Minimization in IC design : principles and application,' ACM Transactions on Design Automation of Electronic Systems, Vol.1, No.1, pp.3-56, Jan., 1996 https://doi.org/10.1145/225871.225877
  12. A. Raghunathan, Niraj K. Jha and S. Dey, 'High-Level Power Analysis and Optimization,' Kluwer Academic Publishers, pp.17-25, 1998
  13. M. Pedram, 'Power Minimization in IC Design : Principles and applications,' Transaction of ACM, Vol1, No.1, pp.1-58, March, 1996 https://doi.org/10.1145/225871.225877
  14. F. N. Najm, 'A Survey of Power Estimation Techniques in VLSI Circuits,' IEEE Transactions on VLSI Systems, Vol.2, No.4, pp.446-455, 1995 https://doi.org/10.1109/92.335013
  15. A. P. Chandrakasan, M. Potkonjak, R. Mehra, J. Rabaey and R. W. Brodersen, 'Optimizing power using transformations,' IEEE Trans Computer-Aided Design, Vol.14, pp.12-51, Jan, 1995 https://doi.org/10.1109/43.363126