• Title/Summary/Keyword: y-branch

Search Result 5,674, Processing Time 0.034 seconds

Correlations and Path-coefficient Analysis of Some Characters of Mulberry Trees (상엽수량에 영향하는 상수 제형질의 직접효과와 간접효과)

  • 장관열;한경수;민병열
    • Journal of Sericultural and Entomological Science
    • /
    • no.12
    • /
    • pp.13-16
    • /
    • 1970
  • The studies were intended to clarify the correlations between the characters and the influences upon yield of mulberry trees. The analysis of covariance was used to obtain the genotypic correlations and phenotypic correlations among the eight characters namely branch length, node number, branch diameter, branch number per stock, total branch weight, old branch weight, new shoot and leaf weight, etc., and path-coefficients were calculated by Dewey's method (5). The results obtained are summarized as follows: 1. Genotypic correlations were slightly higher than the corresponding phenotypic correlations between tile characters as shown in Table 1. It could be indicated that hight leaf yield was genetically correlated with almost all characters studied except branch diameter. 2. Leaf yield of mulberry trees was mainly associated with the characters such as node number per branch, total branch weight and old branch weight, etc. 3. Branch length, branch diameter, branch number per stock and new shoot weight had little direct influence upon yield but it had rather considerable indirect in fluence upon yield by increasing the node number and total branch weight per stock. As a result, it can be concluded that total branch weight is primarily determined by branch number per stock and old branch weight, and in turn the leaf yield of mulberry trees is secondary determined by the node number by increasing the branch diameter and length which are positively correlated with branch number per stock and new shoot weight of mulberry trees.

  • PDF

The effect of hooking on thickness and length of branch line in fishing gear of long line at the coastal waters (연안 연승어구에 있어서 아릿줄의 굵기와 길이가 조획에 미치는 영향)

  • Yang, Chin-Sung;Kim, Suk-Jong
    • Journal of the Korean Society of Fisheries and Ocean Technology
    • /
    • v.48 no.1
    • /
    • pp.51-58
    • /
    • 2012
  • As a basic study to improve hooking ability of long line fishing gear, which is widely used around Jeju-do coast, the researcher performed hooking experiment of parrot fish by manufacturing and installing 7 kinds of model long line fishing gears, whose thickness of branch line are different and 8 kinds of model long line fishing gear, whose length of branch line are different, in indoor circular aquarium, which is installed for the model experiment of thickness and length of branch line that are various by fishing implement and improper. The hooking rate depending on thickness and length of branch line was calculated and the effect of thickness and length of branch line on hooking rate was analyzed. Its results are as follows. When branch line was thin and long, high hooking rate appeared. In the scope of value setting, the relationship between thickness ($B_t$) of branch line and total hooking rate ($Th_r$) can be shown as following formula as. In the scope of value setting, the relationship between length ($B_t$) of branch line and total hooking rate ($B_t$) can be shown as $Th_r=-20.83B_t+26.04$. Through Pearson correlation analysis, the coefficient of correlation between thickness of branch line and hooking rate was -0.718. Therefore it showed significance in 0.01 significance level. Through Pearson correlation analysis, the coefficient of correlation between length of branch line and hooking rate was 0.431. Therefore it showed significance in 0.01 significance level.

Experimental Study on the Characteristics of Pressure Fluctuation in the Combustion Chamber with Branch Tube (분기관을 가진 연소 챔버 내 압력변동 특성에 관한 실험적 연구)

  • Park, Jang-Hee;Lee, Dae-Keun;Shin, Hyun-Dong
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.33 no.7
    • /
    • pp.552-558
    • /
    • 2009
  • An experimental study using the combustor with branch tube was conducted in order to model the industry combustor with FGR (flue gas recirculation) system and to study a thermo-acoustic instability generated by a branch tube. The branch tube is a structure used to modify a system geometry and then to change its pressure field, and the thermo-acoustic instability, usually occurs in a confined geometry, can result in serious problems on industrial combustors. Thus understanding of the instability created by modifying geometry of combustor is necessary to design and operate combustor with FGR system. Pressure fluctuation in the combustion chamber was observed according to diameter and length of branch and it was compared with the solution of 1-D wave equation. It was found that branch tube affects the pressure field in the combustion chamber, and the pressure fluctuation in the combustion chamber was reduced to almost zero when phase difference between an incipient wave in the combustion chamber and a reflected wave in the branch tube is $\pi$ at the branch point. Also, the reduction of pressure fluctuation is irrespective of the installed height of branch tube if it is below $h^*=0.9$ in the close-open tube and open-open tube.

A Branch Predictor with New Recovery Mechanism in ILP Processors for Agriculture Information Technology (농업정보기술을 위한 ILP 프로세서에서 새로운 복구 메커니즘 적용 분기예측기)

  • Ko, Kwang Hyun;Cho, Young Il
    • Agribusiness and Information Management
    • /
    • v.1 no.2
    • /
    • pp.43-60
    • /
    • 2009
  • To improve the performance of wide-issue superscalar processors, it is essential to increase the width of instruction fetch and the issue rate. Removal of control hazard has been put forward as a significant new source of instruction-level parallelism for superscalar processors and the conditional branch prediction is an important technique for improving processor performance. Branch mispredictions, however, waste a large number of cycles, inhibit out-of-order execution, and waste electric power on mis-speculated instructions. Hence, the branch predictor with higher accuracy is necessary for good processor performance. In global-history-based predictors like gshare and GAg, many mispredictions come from commit update of the branch history. Some works on this subject have discussed the need for speculative update of the history and recovery mechanisms for branch mispredictions. In this paper, we present a new mechanism for recovering the branch history after a misprediction. The proposed mechanism adds an age_counter to the original predictor and doubles the size of the branch history register. The age_counter counts the number of outstanding branches and uses it to recover the branch history register. Simulation results on the SimpleScalar 3.0/PISA tool set and the SPECINT95 benchmarks show that gshare and GAg with the proposed recovery mechanism improved the average prediction accuracy by 2.14% and 9.21%, respectively and the average IPC by 8.75% and 18.08%, respectively over the original predictor.

  • PDF

Two-Phase Flow Distribution and Phase Separation Through Both Horizontal and Vertical Branches

  • Tae, Sang-Jin;Keumnam Cho
    • Journal of Mechanical Science and Technology
    • /
    • v.17 no.8
    • /
    • pp.1211-1218
    • /
    • 2003
  • The present study investigated two-phase flow distribution and phase separation of R-22 refrigerant through various types of branch tubes. The key experimental parameters were the orientation of inlet and branch tubes (horizontal and vertical), diameter ratio of branch tube to inlet tube (1 and 0.61), mass flux (200-500 kg/㎡s), and inlet quality (0.1-0.4). The predicted local pressure profile in the tube with junction was compared and generally agreed with the measured data. The local pressure profile within the pressure recovery region after the junction has to be carefully investigated for modeling the pressure drop through the branch. The equal flow distribution case can be found by adjusting the orientation of the inlet and branch tubes and the diameter ratio of the branch tube to the inlet tube. The T-junction with horizontal inlet and branch tubes showed the nearly equal phase distribution ratio. The quality at the branch tube varied from 0 to 1 as the orientation of the branch tube changed, while it varied within${\pm}$50% as the orientation of the inlet tube changed.

A Study on Internal Flow Characteristics of T Branch using CFD Analysis (대구경 고압 T형 분기관의 지관 형상에 따른 내부 유동 영향성 해석)

  • JO, CHUL HEE;KIM, MYEONG JOO;CHO, SEOK JIN;HWANG, SU JIN
    • Transactions of the Korean hydrogen and new energy society
    • /
    • v.26 no.5
    • /
    • pp.438-444
    • /
    • 2015
  • This study describes the effect of T branch shape on internal flow characteristics inside itself. Continuity and three-dimensional Reynolds-averaged Navier-Stokes equation have been used as governing equations for the numerical analysis. The T branch was modeled assuming that it is used for Alaska pipeline project which was planned to provide reliable transportation of natural gas from ANS to Alaska-Yukon border. Therefore the characteristics of T branch and operating condition of pipeline were from report of Alaska pipeline project. The nine T branch shapes were analyzed and the mass flow rate ratio between mainline and branch was assumed to be 0.95 : 0.05, 0.9 : 0.1, 0.85 : 0.15. The results shows that there are typical flow patterns in T branch and the shape of T branch makes some differences to the internal flow of branch rather than mainline.

A Branch Prediction Mechanism With Adaptive Branch History Length for FAFF Information Processing (농림수산식품분야 정보처리를 위한 적응하는 분기히스토리 길이를 갖는 분기예측 메커니즘)

  • Ko, K.H.;Cho, Y.I.
    • Journal of Practical Agriculture & Fisheries Research
    • /
    • v.13 no.1
    • /
    • pp.3-17
    • /
    • 2011
  • Pipelines of processor have been growing deeper and issue widths wider over the years. If this trend continues, branch misprediction penalty will become very high. Branch misprediction is the single most significant performance limiter for improving processor performance using deeper pipelining. Therefore, more accurate branch predictor becomes an essential part of modem processors for FAFF(Food, Agriculture, Forestry, Fisheries)Information Processing. In this paper, we propose a branch prediction mechanism, using variable length history, which predicts using a bank having higher prediction accuracy among predictions from five banks. Bank 0 is a bimodal predictor which is indexed with the 12 least significant bits of the branch PC. Banks 1,2,3 and 4 are predictors which are indexed with different global history bits and the branch PC. In simulation results, the proposed mechanism outperforms gshare predictors using fixed history length of 12 and 13, up to 6.34% in prediction accuracy. Furthermore, the proposed mechanism outperforms gshare predictors using best history lengths for benchmarks, up to 2.3% in prediction accuracy.

Balanced Amplifier using Three-Arm Branch $90^{\circ}$ Hybrid Coupler (Three-Arm Branch $90^{\circ}$ Hybrid Coupler를 이용한 평형증폭기 설계에 대한 연구)

  • Kim, Seon-Sook;Seo, Chul-Hun
    • Journal of the Institute of Electronics Engineers of Korea TC
    • /
    • v.43 no.2 s.344
    • /
    • pp.76-80
    • /
    • 2006
  • In this paper, broadband balanced amplifier has been realized with Three-Arm Branch $90^{\circ}$ Hybrid Coupler at center frequency 2GHz. $90^{\circ}$ Hybrid Branch-Line Coupler has been compared with Three-Arm Branch $90^{\circ}$ Hybrid Coupler. The bandwidth VSWR and gain or proposed amplifier have been 480MHz, 1.06 and 15.47dB, respectively.

Effect of Branch Degree of Cationic Acrylamide Copolymers on Flocculation Properties

  • Son, Dong-Jin;Kim, Bong-Yong
    • Journal of Korea Technical Association of The Pulp and Paper Industry
    • /
    • v.44 no.2
    • /
    • pp.8-17
    • /
    • 2012
  • Three kinds of cationic acrylamide copolymer with different branch degree were prepared controlling the dosage of N, N'-methylenebisacrylamide. The physical characteristics of the branch-degree-modified copolymers were analyzed by intrinsic viscosity and charge density. The branch degree measurements were investigated by applying the colloidal titration phenomena using a spectrophotometer and comparison with the cationic regain measurement method. The results showed that the absorbance behaviors of spectrophotometer were distinctively different with the branch degree of copolymers. Also, the branch degree determinations and molecular structure estimations of the copolymers were numerically measured by applying the titration phenomena using a spectrophotometer. Finally, three kinds of branch-degree-modified copolymers were applied to flocculation test using arbocel micro pulp for the determination of flocculation behavior by different morphology of cationic acrylamide copolymers.