• 제목/요약/키워드: surface etching

검색결과 1,596건 처리시간 0.021초

n-표면 거칠기가 형성된 AlGaInP 수직형 적색 발광다이오드의 광추출효율 증가 (Improved light extraction efficiency of vertical AlGaInP-based LEDs by n-AlGaInP surface roughening)

  • 서재원;오화섭;송현돈;박경욱;유성욱;박영호;박해성;곽준섭
    • 한국진공학회지
    • /
    • 제17권4호
    • /
    • pp.353-358
    • /
    • 2008
  • AlGaInP 기반 수직형 적색 LED (Light Emitting Diode)의 광추출효율을 증가시키기 위하여 화학적 etching 기술을 이용하여 n-AlGaInP 표면에 삼각꼴 모양의 거칠기를 형성하였다. Etching은 $H_3PO_4$계의 용액을 이용하여 화학적 etching을 진행 하였다. AlGaInP etching은 광추출효율의 증가와 밀접한 관련을 갖고 있으며 AFM (Atomic Force Microscope)을 이용하여 AlGaInP 표면을 분석하여 약 44 nm의 RMS (root-mean-square) 거칠기가 형성됨을 알 수 있었다. 광추출효율은 기존 수직형 적색 LED보다 거칠기가 형성된 수직형 적색 LED에서 41%의 높은 발광 효율을 보임으로써 고효율 수직형 적색 LED의 가능성을 보였다.

Helicon Wave Plasma에 의해 식각된 단결정 LiNbO3의 표면 형상 및 특성 (Surface Morphology and Characteristics of LiNbO3 Single Crystal by Helicon Wave Plasma Etching)

  • 박우정;양우석;이한영;윤대호
    • 한국세라믹학회지
    • /
    • 제40권9호
    • /
    • pp.886-890
    • /
    • 2003
  • 단결정 LiNbO$_3$를 helicon wave plasma 방법으로 식각시 bias power와 CF$_4$, HBr, SR$_{6}$가 혼합된 gas 유량에 따른 식각 속도와 rms roughness 값의 특성을 관찰하였다. 식각된 깊이는 surface profiler로 관찰하였으며 rms roughness 값은 Atomic Force Microscopy (AFM)으로 측정하였다. Bias power 증가함에 따라 500W에서 가장 높은 식각 속도와 가장 평탄한 표면형상을 얻을 수 있었으며, CF$_4$, HBr, SF$_{6}$ gas 유량을 각각 10~30 sccm으로 증가시킴에 따라 식각 속도는 CF$_4$, HBr, SF$_{6}$ gas 유량이 10 sccm, 30 sccm, 10 sccm에서 가장 높게 나타났으며, rms roughness 값은 CF$_4$, HBr, SF$_{6}$ gas 유량이 30 sccm, 10 sccm, 30 sccm에서 가장 낮은 표면 조도를 나타내었다.

다이아몬드 와이어에 의해 절단된 다결정 실리콘 태양전지의 나노텍스쳐링 및 후속 식각 연구 (Nanotexturing and Post-Etching for Diamond Wire Sawn Multicrystalline Silicon Solar Cell)

  • 김명현;송재원;남윤호;김동형;유시영;문환균;유봉영;이정호
    • 한국표면공학회지
    • /
    • 제49권3호
    • /
    • pp.301-306
    • /
    • 2016
  • The effects of nanotexturing and post-etching on the reflection and quantum efficiency properties of diamond wire sawn (DWS) multicrystalline silicon (mc-Si) solar cell have been investigated. The chemical solutions, which are acidic etching solution (HF-$HNO_3$), metal assisted chemical etching (MAC etch) solutions ($AgNO_3$-HF-DI, HF-$H_2O_2$-DI) and post-etching solution (diluted KOH at $80^{\circ}C$), were used for micro- and nano-texturing at the surface of diamond wire sawn (DWS) mc-Si wafer. Experiments were performed with various post-etching time conditions in order to determine the optimized etching condition for solar cell. The reflectance of mc-Si wafer texturing with acidic etching solution showed a very high reflectance value of about 30% (w/o anti-reflection coating), which indicates the insufficient light absorption for solar cell. The formation of nano-texture on the surface of mc-Si contributed to the enhancement of light absorption. Also, post-etching time condition of 240 s was found adequate to the nano-texturing of mc-Si due to its high external quantum efficiency of about 30% at short wavelengths and high short circuit current density ($J_{sc}$) of $35.4mA/cm^2$.

포토에칭법에 의한 Digitron용 Grid제조에 관한 연구 (The Fabrication of Digitron Grid by Photoetching Process)

  • 김만;이종권
    • 한국표면공학회지
    • /
    • 제29권1호
    • /
    • pp.60-72
    • /
    • 1996
  • A photoetching process is widely used for small and high precision parts in machinery, electronic and semi-conductor industries. One of the high precision parts, grid is very important part of digitron which use electron display, and it is fabricated by only photoetching process because of high precision. In this study, to develop high precision digitron grid, characteristics of etching solution were investigated with electrochemical test, that was potentiodynamic test and immersion test in the ferric chloride solution and added some additives. Based on the electrochemical etching test, grid was fabricated by continuous photoetching process at various etching condition. From the result of measured line width and etching depth under-cut and etching factor were calculated. For the fabrication of 25$\mu\textrm{m}$ line width, optimal etching condition was etching temperature 40~$45^{\circ}C$, spray pressure 1.5kg/$\textrm{cm}^2$ and etching time 3~4min.

  • PDF

구리 보호층을 이용한 전해에칭에서의 다층구조 제작 (Fabrication of Multilayered Structures in Electrochemical Etching using a Copper Protective Layer)

  • 신홍식
    • 한국기계가공학회지
    • /
    • 제18권2호
    • /
    • pp.38-43
    • /
    • 2019
  • Electrochemical etching is a popular process to apply metal patterning in various industries. In this study, the electrochemical etching using a patterned copper layer was proposed to fabricate multilayered structures. The process consists of electrodeposition, laser patterning, and electrochemical etching, and a repetition of this process enables the production of multilayered structures. In the fabrication of a multilayered structure, an etch factor that reflects the etched depth and pattern size should be considered. Hence, the etch factor in the electrochemical etching process using the copper layer was calculated. After the repetition process of electrochemical etching using copper layers, the surface characteristics of the workpiece were analyzed by EDS analysis and surface profilometer. As a result, multilayered structures with various shapes were successfully fabricated via electrochemical etching using copper layers.

습식 식각에 의한 실리콘 웨이퍼의 표면 및 전기적 특성변화(1) - 불산 농도에 따른 표면형상 변화 - (Change of Surface and Electrical Characteristics of Silicon Wafer by Wet Etching(1) - Surface Morphology Changes as a Function of HF Concentration -)

  • 김준우;강동수;이현용;이상현;고성우;노재승
    • 한국재료학회지
    • /
    • 제23권6호
    • /
    • pp.316-321
    • /
    • 2013
  • The electrical properties and surface morphology changes of a silicon wafer as a function of the HF concentration as the wafer is etched were studied. The HF concentrations were 28, 30, 32, 34, and 36 wt%. The surface morphology changes of the silicon wafer were measured by an SEM ($80^{\circ}$ tilted at ${\times}200$) and the resistivity was measured by assessing the surface resistance using a four-point probe method. The etching rate increased as the HF concentration increased. The maximum etching rate 27.31 ${\mu}m/min$ was achieved at an HF concentration of 36 wt%. A concave wave formed on the wafer after the wet etching process. The size of the wave was largest and the resistivity reached 7.54 $ohm{\cdot}cm$ at an 30 wt% of HF concentration. At an HF concentration of 30 wt%, therefore, a silicon wafer should have good joining strength with a metal backing as well as good electrical properties.

화학적 에칭을 이용한 유체 및 공기 동압 베어링용 그르브 가공 (Groove manufacturing for Fluid and Aero Dynamic Bearings using Chemical Etching)

  • 이용근;김상욱
    • 전기학회논문지P
    • /
    • 제61권4호
    • /
    • pp.225-227
    • /
    • 2012
  • This paper presents a chemical etching system for groove manufacturing for the fluid and aero dynamic bearings. To manufacture the grooves to thrust and journal surface of the fluid and aero dynamic bearing, it is very important for grooves' depth to be smaller tolerance. It is very difficult for the internal surface of journal bearing to make the grooves precise. If the precision of the groove is not exact, we can not get the desirable performance for the target of the dynamic bearing. To make the groove of bearing precise, we propose the method of chemical etching system. It has known that the method of chemical etching can not make the groove on the internal surface of journal bearing excepts for on the surface of thrust bearing. However, this paper has shown the solution to make the grooves on it. We obtain the condition and the parameters of the system such as time, chemical material composition and so on. In this paper, we get the experimental results to verify the precise groove manufacturing for the fluid and aero dynamic bearing.

플라즈마 처리에 의한 마스크 특성 변화 (The Characteristic Variation of Mask with Plasma Treatment)

  • 김좌연;최상수;강병선;민동수;안영진
    • 한국전기전자재료학회논문지
    • /
    • 제21권2호
    • /
    • pp.111-117
    • /
    • 2008
  • We have studied surface roughness, contamination of impurity, bonding with some gas element, reflectance and zeta potential on masks to be generated or changed during photolithography/dry or wet etching process. Mask surface roughness was not changed after photolithography/dry etching process. But surface roughness was changed on some area under MoSi film of Cr/MoSi/Qz. There was not detected any impurity on mask surface after plasma dry etching process. Reflectance of mask was increased after variable plasma etching treatment, especially when mask was treated with plasma including $O_2$ gas. Blank mask was positively charged when the mask was treated with Cr plasma etching gas($Cl_2:250$ sccm/He:20 $sccm/O_2:29$ seem, source power:100 W/bias power:20 W, 300 sec). But this positive charge was changed to negative charge when the mask was treated with $CF_4$ gas for MoSi plasma etching, resulting better wet cleaning. There was appeared with negative charge on MoSi/Qz mask treated with Cr plasma etching process condition, and this mask was measured with more negative after SC-1 wet cleaning process, resulting better wet cleaning. This mask was charged with positive after treatment with $O_2$ plasma again, resulting bad wet cleaning condition.

Reactive Ion Etching Process Integration on Monocrystalline Silicon Solar Cell for Industrial Production

  • Yoo, Chang Youn;Meemongkolkiat, Vichai;Hong, Keunkee;Kim, Jisun;Lee, Eunjoo;Kim, Dong Seop
    • Current Photovoltaic Research
    • /
    • 제5권4호
    • /
    • pp.105-108
    • /
    • 2017
  • The reactive ion etching (RIE) technology which enables nano-texturatization of surface is applied on monocrystalline silicon solar cell. The additional RIE process on alkalized textured surface further improves the blue response and short circuit current. Such parameter is characterized by surface reflectance and quantum efficiency measurement. By varying the RIE process time and matching the subsequent processes, the absolute efficiency gain of 0.13% is achieved. However, the result indicates potential efficiency gain could be higher due to process integration. The critical etch process time is discussed which minimizes both front surface reflectance and etching damage, considering the challenges of required system throughput in industry.

아르곤 플라즈마처리에 의한 다결정 $Si_{1-x}Ge_x$박막의 표면거칠기 개선 (The Improvement of Surface Roughness of Poly-$Si_{1-x}Ge_x$Thin Film Using Ar Plasma Treatment)

  • 이승호;소명기
    • 한국세라믹학회지
    • /
    • 제34권11호
    • /
    • pp.1121-1128
    • /
    • 1997
  • In this study, the Ar plasma treatment was used to improve the surface roughness of Poly-Si1-xGex thin film deposited by RTCVD. The surface roughness and the resistivity of Si1-xGex thin film were investigated with variation of Ar plasma treatment parameters (electrode distance, working pressure, time, substrate temperature and R.F power). When the Ar plasma treatment was used, the cluster size decreased by the surface etching effect due to the increasing surface collision energy of particles (ion, neutral atom) in plasma under the conditions of decreasing electrode distance and increasing pressure, time, temperature, and R. F power. Although the surface roughness value decreased by the reduction of the cluster size due to surface etching effect, however, the resistivity increased. This may be due to the surface damage caused by the increasing surface collision energy. It was concluded that the surface roughness could be improved by the Ar plasma treatment, while the resistivity was increased by the surface damage on the substrate.

  • PDF