• Title/Summary/Keyword: surface etching

Search Result 1,601, Processing Time 0.028 seconds

Study of dry etching chrateristics of freeoelectric $YMnO_{3}$ thin films (강유전체 $YMno_{3}$ 박막의 건식식각 특성연구)

  • Kim, In-Pyo;Park, Jae-Hwa;Kim, Kyoung-Tae;Kim, Chang-Il;Jang, Eui-Goo;Eom, Joon-Chul
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.11a
    • /
    • pp.159-162
    • /
    • 2002
  • Ferroelectric $YMnO_{3}$ thin films were etched with $Ar/Cl_{2}$ and $CF_{4}/Cl_{2}$ inductivly coupled plasma (ICP). The maximum etch rate of $YMnO_{3}$ thin film was $300{\AA}/min$ at a $Ar/Cl_{2}$ gas mixing ratio of 2/8, a RF power of 800 W, a dc bias of 200 V, a chamber pressure of 15 mTorr, and a substrate temperature of ${30^{\circ}C}$. From the X-ray photoelectron spectroscopy (XPS) analysis , yttrium not only etched by chemical reactions with Cl radicals, but also assisted by Ar ion bombardments in $Ar/Cl_{2}$ plasma. In $CF_{4}/Cl_{2}$ plasma, yttrium are remained on the etched surface of $YMnO_{3}$ and formed of nonvolatile YFx compounds Manganese etched effectively by chemical reactions with Cl and F radicals. From the X-ray diffraction (XRD) analysis, the (0004) diffraction peak intensity of the $YMnO_{3}$ thin film etched in $Ar/Cl_{2}$ plasma shows lower value than that in $CF_{4}/Cl_{2}$ plasma. It is indicates that the crystallinty of $YMnO_{3}$ thin film is more easily damaged by the Ar ion bombardment than the changes of stoichiometry due to nonvolatile etch by-products.

  • PDF

The Study on the Characteristic of Mono Crystalline Silicon Solar Cell with Change of $O_2$ Injection during Drive-in Process and PSG Removal (단결정 실리콘 태양전지 도핑 확산 공정에서 주입되는 $O_2$ 가스와 PSG 유무에 따른 특성 변화)

  • Choi, Sung-Jin;Song, Hee-Eun;Yu, Gwon-Jong;Lee, Hi-Deok
    • 한국태양에너지학회:학술대회논문집
    • /
    • 2011.04a
    • /
    • pp.105-110
    • /
    • 2011
  • The doping procedure in crystalline silicon solar cell fabrication usually contains oxygen injection during drive-in process and removal of phosphorous silicate glass(PSG). In this paper, we studied the effect of oxygen injection and PSG on conversion efficiency of solar cell. The mono crystalline silicon wafers with $156{\times}156mm^2$, $200{\mu}m$, $0.5-3.0{\Omega}{\cdot}cm$ and p-type were used. After etching $7{\mu}m$ of the surface to form the pyramidal structure, the P(phosphorous) was injected into silicon wafer using diffusion furnace to make the emitter layer. After then, the silicon nitride was deposited by the PECVD with 80 nm thickness and 2.1 refractive index. The silver and aluminium electrodes for front and back sheet, respectively, were formed by screen-printing method, followed by firing in 400-425-450-550-$880^{\circ}C$ five-zone temperature conditions to make the ohmic contact. Solar cells with four different types were fabricated with/without oxygen injection and PSG removal. Solar cell that injected oxygen during the drive-in process and removed PSG after doping process showed the 17.9 % conversion efficiency which is best in this study. This solar cells showed $35.5mA/cm^2$ of the current density, 632 mV of the open circuit voltage and 79.5 % of the fill factor.

  • PDF

Optimization of Drive-in Process with Various Times and Temperatures in Crystalline Silicon Solar Cell Fabrication (결정질 실리콘 태양전지 도핑 확산 공정에서 시간과 온도 변화에 의한 Drive-in 공정 연구)

  • Lee, Hee-Jun;Choi, Sung-Jin;Myoung, Jae-Min;Song, Hee-Eun;Yu, Gwon-Jong
    • 한국태양에너지학회:학술대회논문집
    • /
    • 2011.11a
    • /
    • pp.51-55
    • /
    • 2011
  • In this paper, the optimized doping condition of crystalline silicon solar cells with 156 ${\times}$ 156 mm2 area was studied. To optimize the drive-in condition in the doping process, the other conditions except drive-in temperature and time were fixed. After etching 7 ${\mu}m$ of the surface to form the pyramidal structure, the silicon nitride deposited by the PECVD had 75~80 nm thickness and 2 to 2.1 for a refractive index. The silver and aluminium electrodes for front and back sheet, respectively, were formed by screen-printing method, followed by firing in $400-425-450-550-850^{\circ}C$ five-zone temperature conditions to make the ohmic contact. Drive-in temperature was changed in range of $828^{\circ}C$ to $860^{\circ}C$ and time was from 3 min to 40 min. The sheet resistance of wafer was fixed to avoid its effect on solar cell. The solar cell fabricated with various conditions showed the similar conversion efficiency of 17.4%. This experimental result showed the drive-in temperatures and times little influence on solar cell characteristics.

  • PDF

Optimization of Drive-in Temperature at Doping Process for Mono Crystalline Silicon Solar Cell (단결정 실리콘 태양전지의 도핑 최적화를 위한 확산 온도에 대한 연구)

  • Cho, Sung-Jin;Song, Hee-Eun;Yoo, Kwon-Jong;Yoo, Jin-Soo;Han, Kyu-Min;Kwon, Jun-Young;Lee, Hi-Deok
    • Journal of the Korean Solar Energy Society
    • /
    • v.31 no.1
    • /
    • pp.37-43
    • /
    • 2011
  • In this paper, the optimized doping condition of crystalline silicon solar cells with $156{\times}156\;mm^2$ area was studied. To optimize the drive-in temperature in the doping process, the other conditions except variable drive-in temperature were fixed. These conditions were obtained in previous studies. After etching$7\;{\mu}m$ of the surface to form the pyramidal structure, the silicon nitride deposited by the PECVD had 75~80nm thickness and 2 to 2.1 for a refractive index. The silver and aluminium electrodes for front and back sheet, respectively, were formed by screen-printing method, followed by firing in 400-425-450-550-$850^{\circ}C$ five-zone temperature conditions to make the ohmic contact. Drive-in temperature was changed in range of $830^{\circ}C$ to $890^{\circ}C$to obtain the sheet resistance $30{\sim}70\;{\Omega}/{\box}$ with $10\;\Omega}/{\box}$ intervals. Solar cell made in $890^{\circ}C$ as the drive-in temperature revealed 17.1% conversion efficiency which is best in this study. This solar cells showed $34.4\;mA/cm^2$ of the current density, 627 mV of the open circuit voltage and 79.3% of the fill factor.

Development of Plasma Assisted ALD equipment and electrical characteristic of TaN thin film deposited PAALD method (Plasma Assisted ALD 장비 계발과 PAALD법으로 증착 된 TaN 박막의 전기적 특성)

  • Do Kwan-Woo;kim Kyoung-Min;Yang Chung-Mo;Park Seong-Guen;Na Kyoung-Il;Lee Jung-Hee;Lee Jong-Hyun
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2005.05a
    • /
    • pp.139-145
    • /
    • 2005
  • In the study, in order to deposit TaN thin film using diffusion barrier and bottom electrode we made the Plasma Assisted ALD equipment and confirmed the electrical characteristic of TaN thin films deposited PAALD method, PAALD equipment depositing TaN thin film using PEMAT(pentakis(ethylmethlyamlno) tantalum) Precursor and $NH_3$ reaction gas is aware that TaN thin film deposited of high density and amorphous phase with XRD measurement The degree of diffusion and react ion taking place in Cu/TaN(deposited using 150 W PAALD)/$SiO_2$/Si systems with increasing annealing temperature was estimated from MOS capacitor property and the $SiO_2(600\;\AA)$/Si system surface analysis by C-V measurement and secondary ion material spectrometer(SIMS) after Cu/TaN/$SiO_2(400\;\AA)$ system etching. TaN thin film deposited PAALD method diffusion barrier have a good diffusion barrier property up to $500^{\circ}C$.

  • PDF

A New Surface Micromachining Technology for Low Voltage Actuated Switch and Mirror Arrays (저전압 구동용 전기스위치와 미러 어레이 응용을 위한 새로운 표면미세가공기술)

  • Park, Sang-Jun;Lee, Sang-Woo;Kim, Jong-Pal;Yi, Sang-Woo;Lee, Sang-Chul;Kim, Sung-Un;Cho, Dong-Il
    • Proceedings of the KIEE Conference
    • /
    • 1998.07g
    • /
    • pp.2518-2520
    • /
    • 1998
  • Silicon can be reactive ion etched (RIE) either isotropically or anisotropically. In this paper, a new micromachining technology combining these two etching characteristics is proposed. In the proposed method, the fabrication steps are as follows. First. a polysilicon layer, which is used as the bottom electrode, is deposited on the silicon wafer and patterned. Then the silicon substrate is etched anisotropically to a few micrometer depth that forms a cavity. Then an PECVD oxide layer is deposited to passivate the cavity side walls. The oxide layers at the top and bottom faces are removed while the passivation layers of the side walls are left. Then the substrate is etched again but in an isotropic etch condition to form a round trench with a larger radius than the anisotropic cavity. Then a sacrificial PECVD oxide layer is deposited and patterned. Then a polysilicon structural layer is deposited and patterned. This polysilicon layer forms a pivot structure of a rocker-arm. Finally, oxide sacrificial layers are etched away. This new micromachining technology is quite simpler than conventional method to fabricate joint structures, and the devices that are fabricated using this technology do not require a flexing structure for motion.

  • PDF

Interfacial Behavior of Water Droplet on Micro-Nano Structured Surfaces (마이크로-나노 구조가 있는 표면에서의 액적 계면 거동 현상에 대한 연구)

  • Kwak, Ho Jae;Yu, Dong In;Kim, Moo Hwan;Park, Hyun Sun;Moriyama, Kiyofumi;Ahn, Ho Sun;Kim, Dong Eok
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.39 no.5
    • /
    • pp.449-453
    • /
    • 2015
  • Recently, surfaces with micro and nano structures are the focus of various research and engineering fields to enhance wetting characteristics of the surfaces. Hydrophilic surfaces with hierarchical structures are generally characterized by the interfacial behavior of water droplets. In this study, the interfacial behavior of water droplets is experimentally investigated considering the scale of structures. Using the dry etching and conventional lithography method, quantitative hierarchical structured surfaces are developed. The behavior of the liquid-vapor interface on the test sections is visualized using an automatic goniometer and a high-speed camera. On the basis of the visualized data, the interfacial behavior of water droplets is intensively investigated according to surface geometrical characteristics.

Development of Plasma Assisted ALD equipment and Electrical Characteristic of TaN thin film deposited PAALD method (Plasma Assisted ALD 장비 계발과 PAALD법으로 증착 된 TaN 박막의 전기적 특성)

  • Do Kwan Woo;Kim Kyoung Min;Yang Chung Mo;Park Seong Guen;Na Kyoung Il;Lee Jung Hee;Lee Jong Hyun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.4 no.2 s.11
    • /
    • pp.39-43
    • /
    • 2005
  • In the study, in order to deposit TaN thin film for diffusion barrier and bottom electrode we made the Plasma Assisted ALD equipment and confirmed the electrical characteristics of TaN thin films grown PAALD method. Plasma Assisted ALD equipment depositing TaN thin film using PEMAT(pentakis(ethylmethlyamino) tantalum) precursor and NH3 reaction gas is shown that TaN thin film deposited high density and amorphous phase with XRD measurement. The degree of diffusion and reaction taking place in Cu/TaN (deposited using 150W PAALD)/$SiO_{2}$/Si systems with increasing annealing temperature was estimated for MOS capacitor property and the $SiO_{2}$, (600${\AA}$)/Si system surface analysis by C-V measurement and secondary ion material spectrometer (SIMS) after Cu/TaN/$SiO_{2}$ (400 ${\AA}$) layer etching. TaN thin film deposited PAALD method diffusion barrier have a good diffusion barrier property up to 500$^{\circ}C$.

  • PDF

Surface Acoustic Wave Properties of ZnO Thin Films Deposited on Diamond Substrate (다이아몬드 기판상에 증착된 ZnO 압전박막의 탄성표면파 특성)

  • 김영진;정영호
    • Korean Journal of Crystallography
    • /
    • v.7 no.2
    • /
    • pp.175-182
    • /
    • 1996
  • ZnO thin films were deposited on Corning 7059 glass substrates to study fundamental properties of films. According to the experimental results, (002) preferred ZnO thin films were grown by purging Ar/O2 mixed gas, but not without oxygen gas. The structure and the orientation of ZnO thin films were much affected by the substrate temperature and rf power. High quality ZnO films were obtained by increasing their values. Optimum deposition parameters were : 300W rf power, 300℃ substrate temperature, Ar/O2=70/30. To characterize SAW propagation properties, IDT was fabricated by etching Al films deposited on diamond/Si wafer with RIE. Measured λ(wavelength) was 24μm and experimental results were well matched with simulation. Center frequency was 250MHz, and the calculated phase velocity of ZnO/ diamond structure was about 6000m/s.

  • PDF

Localization Technology Development of 16oz Popper Kettle through Existing Kettle Analysis and Heating System Study (기존 케틀 분석 및 가열 시스템 연구를 통한 16oz 팝퍼 케틀 국산화 기술 개발)

  • Lee, Jung-Hun;Kim, Kyoung-Chul;Oh, Young-Sub;Ryuh, Beom-Sang
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.16 no.11
    • /
    • pp.7773-7780
    • /
    • 2015
  • Analysis of existing kettle and its heating system has been the topic for localization technology development. Test pieces are made, polished and etched for existing kettle analysis. Surface of test pieces is observed using SEM, the kettle is verified to be made by deep drawing process from Ferrite-Perlite material. The kettle is also identified to be plated $16{\sim}49{\mu}m$ of thickness with Nickel(16%). Also heat transfer characteristics based on hot wire arrangement is investigated and optimal hot wire system is developed. Developed control system detects overheating and stops the whole system on the long operating time. Developed kettle takes the performance evaluation test for volume expansion and satisfied for standard 'KS G3602'.