• Title/Summary/Keyword: reactive plasma etching

Search Result 171, Processing Time 0.044 seconds

A Study on the Characterisitics of Reactive Ion Etching (Cylindrical Magnetron을 사용한 실리콘의 반응성 이온 건식식각의 특성에 관한 연구)

  • Yeom, Geun-Yeong
    • Korean Journal of Materials Research
    • /
    • v.3 no.4
    • /
    • pp.327-335
    • /
    • 1993
  • Using a RF cylindrical magnetron operated with two electromagnets having a Helmholz configuration, RF magnetron plasma properties and characteristics of reactive ion ething of Si were investigated as a function of applied magnetic field strengths using 3mTorr $CF_4/H_2$ and $CHF_3$. Also, I-V characteristics of Schottky diodes, which were made of silicons etched under different applied magnetic field strengths and gas environments, were measured to investigate the degree of radiation damage during the reactive ion etching. As the magnetic field strent;th increased, ion densities and radical densities of the plasmas were increased linearly, however, the dc self-bias voltages induced on the powered electrode, where the specimen are located, were decreased exponentially. Maximum etch rates, which were 5 times faster than that etched without applied magnetic filed, were obtained using near lOOGauss, and, under these conditions, little or no radiation damages on the etched silicons were found.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

A Study of the Etched ZnO Thin Films Surface by Reactive Ion in the Cl2/BCl3/Ar Plasma (Cl2/BCl3/Ar 플라즈마에서 반응성 이온들에 의해 식각된 ZnO 박막 표면 연구)

  • Woo, Jong-Chang;Kim, Chang-Il
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.23 no.10
    • /
    • pp.747-751
    • /
    • 2010
  • In the study, the characteristics of the etched Zinc oxide (ZnO) thin films surface, the etch rate of ZnO thin film in $Cl_2/BCl_3/Ar$ plasma was investigated. The maximum ZnO etch rate of 53 nm/min was obtained for $Cl_2/BCl_3/Ar$=3:16:4 sccm gas mixture. According to the x-ray diffraction (XRD) and atomic force microscopy (AFM), the etched ZnO thin film was investigated to the chemical reaction of the ZnO surface in $Cl_2/BCl_3/Ar$ plasma. The field emission auger electron spectroscopy (FE-AES) analysis showed an elemental analysis from the etched surfaces. According to the etching time, the ZnO thin film of etched was obtained to The AES depth-profile analysis. We used to atomic force microscopy to determine the roughness of the surface. So, the root mean square of ZnO thin film was 17.02 in $Cl_2/BCl_3/Ar$ plasma. Based on these data, the ion-assisted chemical reaction was proposed as the main etch mechanism for the plasmas.

A Study on the Silicon surface and near-surface contamination by $CHF_3$/$C_2$$F_6$ RIE and its removal with thermal treatment and $O_2$ plasma exposure ($CHF_3$/$C_2$$F_6$ 반응성이온 건식식각에 의한 실리콘 표면의 오염 및 제거에 관한 연구)

  • 권광호;박형호;이수민;곽병화;김보우;권오준;성영권
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.30A no.1
    • /
    • pp.31-43
    • /
    • 1993
  • Thermal behavior and $O_{2}$ plasma effects on residue and penetrated impurities formed by reactive ion etching (RIE) in CHF$_{3}$/C$_{2}$F$_{6}$ have been investigated using X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS) techniques. Decomposition of polymer residue film begins between 200-300.deg. C, and above 400.deg. C carbon compound as graphite mainly forms by in-situ resistive heating. It reveals that thermal decomposition of residue can be completed by rapid thermal anneal above 800.deg. C under nitrogen atmosphere and out-diffusion of penetrated impurities is observed. The residue layer has been removed with $O_{2}$ plasma exposure of etched silicon and its chemical bonding states have been changed into F-O, C-O etc.. And $O_{2}$ plasma exposure results in the decrease of penetrated impurities.

  • PDF

Patterning of Pt thin films using SiO$_2$mask in a high density plasma (고밀도 플라즈마에서 규소산화막을 마스크로 이용한 백금박막의 페터닝)

  • 이희섭;이종근;박세근;정양희
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.3
    • /
    • pp.87-92
    • /
    • 1997
  • Inductively coupled Cl$_{2}$ plasma has been studied to etch Pt thin films, which hardly form volatile compound with any reactive gas at normal process temperature. Low etch rate and residue problems are frequently observed. For higher etch rate, high density plasma and higher process temperature is adopted observed. For higher etch rate, high density plasma and higher process temperature is adopted and thus SiO$_{2}$ is used as for patterning mask instead of photoresist. The effect of O$_{2}$ or Ar addition to Cl$_{2}$ was investigated, and the chamber pressure, gas flow rate, surce RF power and bias RF power are also varied to check their effects on etch rate and selectivity. The major etching mechanism is the physical sputtering, but the ion assisted chemical raction is also found to be a big factor. The proposs can be optimized to obtain the etch rate of Pt up to 200nm/min and selectivity to SiO$_{2}$ at 2.0 or more. Patterning of submicron Pt lines are successfully demonstrated.

  • PDF

The Characteristics of Plasma Polymerized Carbon Hardmask Film Prepared by Plasma Deposition Systems with the Variation of Temperature

  • Yang, J.;Ban, W.;Kim, S.;Kim, J.;Park, K.;Hur, G.;Jung, D.;Lee, J.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.381.1-381.1
    • /
    • 2014
  • In this study, we investigated the deposition behavior and the etch resistivity of plasma polymerized carbon hardmask (ppCHM) film with the variation of process temperature. The etch resistivity of deposited ppCHM film was analyzed by thickness measurement before and after direct contact reactive ion etching process. The physical and chemical properties of films were characterized on the Fourier transform infrared (FT-IR) spectroscope, Raman spectroscope, stress gauge, and ellipsometry. The deposition behavior of ppCHM process with the variation of temperature was correlated refractive index (n), extinction coefficient (k), intrinsic stress (MPa), and deposition rate (A/s) with the hydrocarbon concentration, graphite (G) and disordered (D) peak by analyzing the Raman and FT-IR spectrum. From this experiment we knew an optimal deposition condition for structure of carbon hardmask with the higher etch selectivity to oxide. It was shown the density of ppCHM film had 1.6~1.9 g/cm3 and its refractive index was 1.8~1.9 at process temperature, $300{\sim}600^{\circ}C$. The etch selectivity of ppCHM film was shown about 1:4~1:8 to undoped siliconoxide (USG) film (etch rate, 1300 A/min).

  • PDF

A Preliminary Research on Optical In-Situ Monitoring of RF Plasma Induced Ion Current Using Optical Plasma Monitoring System (OPMS)

  • Kim, Hye-Jeong;Lee, Jun-Yong;Chun, Sang-Hyun;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.523-523
    • /
    • 2012
  • As the wafer geometric requirements continuously complicated and minutes in tens of nanometers, the expectation of real-time add-on sensors for in-situ plasma process monitoring is rapidly increasing. Various industry applications, utilizing plasma impedance monitor (PIM) and optical emission spectroscopy (OES), on etch end point detection, etch chemistry investigation, health monitoring, fault detection and classification, and advanced process control are good examples. However, process monitoring in semiconductor manufacturing industry requires non-invasiveness. The hypothesis behind the optical monitoring of plasma induced ion current is for the monitoring of plasma induced charging damage in non-invasive optical way. In plasma dielectric via etching, the bombardment of reactive ions on exposed conductor patterns may induce electrical current. Induced electrical charge can further flow down to device level, and accumulated charges in the consecutive plasma processes during back-end metallization can create plasma induced charging damage to shift the threshold voltage of device. As a preliminary research for the hypothesis, we performed two phases experiment to measure the plasma induced current in etch environmental condition. We fabricated electrical test circuits to convert induced current to flickering frequency of LED output, and the flickering frequency was measured by high speed optical plasma monitoring system (OPMS) in 10 kHz. Current-frequency calibration was done in offline by applying stepwise current increase while LED flickering was measured. Once the performance of the test circuits was evaluated, a metal pad for collecting ion bombardment during plasma etch condition was placed inside etch chamber, and the LED output frequency was measured in real-time. It was successful to acquire high speed optical emission data acquisition in 10 kHz. Offline measurement with the test circuitry was satisfactory, and we are continuously investigating the potential of real-time in-situ plasma induce current measurement via OPMS.

  • PDF

Direct printing process based on nanoimprint lithography to enhance the light extraction efficiency of AlGaInP based red LEDs

  • Cho, Joong-Yeon;Kim, Jin-Seung;Kim, Gyu-Tae;Lee, Heon
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2012.11a
    • /
    • pp.171-171
    • /
    • 2012
  • In this study, we fabricated the high-brightness AlGaInP-based red light emitting diodes (LED)s using by direct printing technique and inductive coupled plasma (ICP) reactive ion etching (RIE). In general, surface roughening was fabricated by wet etching process to improve the light extraction efficiency of AlGaInP-based red LED. However, a structure of the surface roughening, which was fabricated by wet etching, was tiled cone-shape after wet etching process due to crystal structure of AlGaInP materials, which was used as top-layer of red LED. This tilted cone-shape of surface roughening can improve the light extraction of LED, but it caused a loss of the light extraction efficiency of LED. So, in this study, we fabricated perfectly cone shaped pattern using direct printing and dry etching process to maximize the light extraction efficiency of LED. Both submicron pattern and micron pattern was formed on the surface of red LED to compare the enhancement effect of light extraction efficiency of LEDs according to the diameter of sapphire patterns.After patterning process using direct printing and ICP-RIE proceeded on the red LED, light output was enhanced up to 10 % than that of red LED with wet etched structure. This enhancement of light extraction of red LED was maintained after packaging process. And as a result of analyze of current-voltage characteristic, there is no electrical degradation of LED.

  • PDF

Fabrication of a Pressure Difference Type Gas Flow Sensor using ICP-RIE Technology (ICP-RIE 기술을 이용한 차압형 가스유량센서 제작)

  • Lee, Young-Tae;Ahn, Kang-Ho;Kwon, Yong-Taek;Takao, Hidekuni;Ishida, Makoto
    • Journal of the Semiconductor & Display Technology
    • /
    • v.7 no.1
    • /
    • pp.1-5
    • /
    • 2008
  • In this paper, we fabricated pressure difference type gas flow sensor using only dry etching technology by ICP-RIE(inductive coupled plasma reactive ion etching). The sensor's structure consists of a common shear stress type piezoresistive pressure sensor with an orifice fabricated in the middle of the sensor diaphragm. Generally, structure like diaphragm is fabricated by wet etching technology using TMAH, but we fabricated diaphragm by only dry etching using ICP-RIE. To equalize the thickness of diaphragm we applied insulator($SiO_2$) layer of SOI(Si/$SiO_2$/Si-sub) wafer as delay layer of dry etching. Size of fabricated diaphragm is $1000{\times}1000{\times}7\;{\mu}m^3$ and overall chip $3000{\times}3000{\times}7\;{\mu}m^3$. We measured the variation of output voltage toward the change of gas pressure to analyze characteristics of the fabricated sensor. Sensitivity of fabricated sensor was relatively high as about 1.5mV/V kPa at 1kPa full-scale. Nonlinearity was below 0.5%F.S. Over-pressure range of the fabricated sensor is 100kPa or more.

  • PDF

중성빔 식각을 이용한 Metal Gate/High-k Dielectric CMOSFETs의 저 손상 식각공정 개발에 관한 연구

  • Min, Gyeong-Seok;O, Jong-Sik;Kim, Chan-Gyu;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.287-287
    • /
    • 2011
  • ITRS(international technology roadmap for semiconductors)에 따르면 MOS (metal-oxide-semiconductor)의 CD(critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/SiO2를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두되고 있다. 일반적으로 metal gate를 식각시 정확한 CD를 형성시키기 위해서 plasma를 이용한 RIE(reactive ion etching)를 사용하고 있지만 PIDs(plasma induced damages)의 하나인 PICD(plasma induced charging damage)의 발생이 문제가 되고 있다. PICD의 원인으로 plasma의 non-uniform으로 locally imbalanced한 ion과 electron이 PICC(plasma induced charging current)를 gate oxide에 발생시켜 gate oxide의 interface에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 metal gate의 식각공정에 HDP(high density plasma)의 ICP(inductively coupled plasma) source를 이용한 중성빔 시스템을 사용하여 PICD를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. 식각공정조건으로 gas는 HBr 12 sccm (80%)와 Cl2 3 sccm (20%)와 power는 300 w를 사용하였고 200 eV의 에너지로 식각공정시 TEM(transmission electron microscopy)으로 TiN의 anisotropic한 형상을 볼 수 있었고 100 eV 이하의 에너지로 식각공정시 하부층인 HfO2와 높은 etch selectivity로 etch stop을 시킬 수 있었다. 실제 공정을 MOS의 metal gate에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU(North Carolina State University) CVC model로 effective electric field electron mobility를 구한 결과 electorn mobility의 증가를 볼 수 있었고 또한 mos parameter인 transconductance (Gm)의 증가를 볼 수 있었다. 그 원인으로 CP(Charge pumping) 1MHz로 gate oxide의 inteface의 분석 결과 이러한 결과가 gate oxide의 interface trap양의 감소로 개선으로 기인함을 확인할 수 있었다.

  • PDF