• Title/Summary/Keyword: micro-patterning

Search Result 249, Processing Time 0.05 seconds

Facile Fabrication Process for Graphene Nanoribbon Using Nano-Imprint Lithography(NIL) and Application of Graphene Pattern on Flexible Substrate by Transfer Printing of Silicon Membrane (나노임프린트 리소그래피 기술을 이용한 그래핀 나노리본 트랜지스터 제조 및 그래핀 전극을 활용한 실리콘 트랜지스터 응용)

  • Eom, Seong Un;Kang, Seok Hee;Hong, Suck Won
    • Korean Journal of Materials Research
    • /
    • v.26 no.11
    • /
    • pp.635-643
    • /
    • 2016
  • Graphene has shown exceptional properties for high performance devices due to its high carrier mobility. Of particular interest is the potential use of graphene nanoribbons as field-effect transistors. Herein, we introduce a facile approach to the fabrication of graphene nanoribbon (GNR) arrays with ~200 nm width using nanoimprint lithography (NIL), which is a simple and robust method for patterning with high fidelity over a large area. To realize a 2D material-based device, we integrated the graphene nanoribbon arrays in field effect transistors (GNR-FETs) using conventional lithography and metallization on highly-doped $Si/SiO_2$ substrate. Consequently, we observed an enhancement of the performance of the GNR-transistors compared to that of the micro-ribbon graphene transistors. Besides this, using a transfer printing process on a flexible polymeric substrate, we demonstrated graphene-silicon junction structures that use CVD grown graphene as flexible electrodes for Si based transistors.

White-light-emitting Organic Electroluminescent Device Based On Incomplete Energy Transfer

  • Song, Tae-Joon;Ko, Myung-Soo;Lee, Sung-Soo;Cho, Sung-Min
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2002.08a
    • /
    • pp.701-705
    • /
    • 2002
  • In order to realize full color display, two approaches were used. The first method is the patterning of red, green, and blue emitters using a selective deposition. Another approach is based on a white-emitting diode, from which the three primary colors could be obtained by micro-patterned color filters. White-light-emitting organic light emitting devices (OLEDs) are attracting much attention recently due to potential applications such as backlights in liquid crystal displays (LCDs) or other illumination purposes. In order for the white OLEDs to be used as backlights in LCDs, the light emission should be bright and have Commission Internationale d'Eclairage (CIE) chromaticity coordinates of (0.33, 0.33). For obtaining white emission from OLEDs, different colors should be mixed with proper balances even though there are a few different methods for mixing colors. In this study, we will report a white organic electroluminescent device based on an incomplete energy transfer. In which the blue and green emission come from the same layer via incomplete energy transfer.

  • PDF

The Cu-CMP's features regarding the additional volume of oxidizer to W-Slurry (W-slurry의 산화제 첨가량에 따른 Cu-CMP특성)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Seo, Young-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.370-373
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical Planarization(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper depostion is a mature process from a historical point of view, but a very young process from a CMP persperspective. While copper electrodepostion has been used and stuidied for dacades, its application to Cu damascene wafer processing is only now ganing complete accptance in the semiconductor industry. The polishing mechanism of Cu CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper pasivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF

Ductile-Regime Nanopatterning on Pyrex 7740 Glass Surface and Its Application to the Fabrication of Positive-tone PDMS Stamp for Microcontact Printing (${\mu}CP$) (미소접촉인쇄 공정용 철형 PDMS 스템프 제작을 위한 Pyrex 7740 glass 표면의 연성영역 나노패터닝)

  • Kim H. I.;Youn S. W.;Kang C. G.
    • Proceedings of the Korean Society for Technology of Plasticity Conference
    • /
    • 2004.10a
    • /
    • pp.40-43
    • /
    • 2004
  • Stamps for microcontact processing are fabricated by casting elastomer such as PDMS on a master with a negative of the desired pattern. After curing, the PDMS stamp is peeled away from the master and exposed to a solution of ink and then dried. Transfer of the ink from the PDMS stamp to the substrate occurs during a brief contact between stamp and substrate. Generally, negative-tone masters, which are used for making positive-tone PDMS stamps, are fabricated by using photolithographic technique. The shortcomings of photolithography are a relative high-cost process and require extensive processing time and heavy capital investment to build and maintain the fabrication facilities. The goal of this study is to fabricate a negative-tone master by using Nano-indenter based patterning technique. Various sizes of V-grooves and U-groove were fabricated by using the combination of nanoscratch and HF isotropic etching technique. An achieved negative-tone structure was used as a master in the PDMS replica molding process to fabricate a positive-tone PDMS stamp.

  • PDF

Investigation of friction effects between needles patterned using laser and elastomer (레이저에 의해 패터닝 된 바늘과 탄성중합체와의 마찰 효과)

  • Kim, Jae-Gu;Ro, Seung-Kook;Park, Jong-Kweon;Cho, Sung-Hak;Whang, Kyung-Hyun
    • Laser Solutions
    • /
    • v.15 no.3
    • /
    • pp.1-6
    • /
    • 2012
  • The friction force of patterned needle in elastomer have been investigated to verify the application for bio and plastic industry. The micro pattern on the needle surface were prepared by 266 nm, 20 ns laser and 800 nm, 220 fs laser, which were able to generate the different surface roughness. The friction force was measured by the load cell of 10 N capacity. As the results, the friction force of no patterned needle is almost constant during the needle penetrates the silicone rubber sample. However, the needle having asperities shows the variation of the friction force. The higher the surface roughness is, the smaller the friction force is until the surface roughness is very high. In our experiment conditions, the reduction of the friction force by 20 % compared to no pattern needle was achieved with straight and $50{\mu}m$ discrete line generated by 266 nm, 20 ns laser.

  • PDF

Modified Principal Component Analysis for Real-Time Endpoint Detection of SiO2 Etching Using RF Plasma Impedance Monitoring

  • Jang, Hae-Gyu;Kim, Dae-Gyeong;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.32-32
    • /
    • 2011
  • Plasma etching is used in microelectronic processing for patterning of micro- and nano-scale devices. Commonly, optical emission spectroscopy (OES) is widely used for real-time endpoint detection for plasma etching. However, if the viewport for optical-emission monitoring becomes blurred by polymer film due to prolonged use of the etching system, optical-emission monitoring becomes impossible. In addition, when the exposed area ratio on the wafer is small, changes in the optical emission are so slight that it is almost impossible to detect the endpoint of etching. For this reason, as a simple method of detecting variations in plasma without contamination of the reaction chamber at low cost, a method of measuring plasma impedance is being examined. The object in this research is to investigate the suitability of using plasma impedance monitoring (PIM) with statistical approach for real-time endpoint detection of $SiO_2$ etching. The endpoint was determined by impedance signal variation from I-V monitor (VI probe). However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ film on Si wafer is etched by fluorocarbon plasma on inductive coupled plasma (ICP) etcher. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance analysis is compared with optical emission spectroscopy (OES). From impedance data, we tried to analyze physical properties of plasma, and real-time endpoint detection can be achieved.

  • PDF

Bioinspired superhydrophobic steel surfaces

  • Heo, Eun-Gyu;O, Gyu-Hwan;Lee, Gwang-Ryeol;Mun, Myeong-Un
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.509-509
    • /
    • 2011
  • Superhydrophobic surfaces on alloyed steels were fabricated with a non-conventional method of plasma etching and subsequent water immersion procedure. High aspect ratio nanopatterns of nanoflake or nano-needle were created on the steels with various Cr content in its composition. With CF4 plasma treatment in radio-frequence chemical vapor deposition (r.-f. CVD) method, steel surfaces were etched and fluorinated by CF4 plasma, which induced the nanopattern evolution through the water immersion process. It was found that fluorine ion played a role as a catalyst to form nanopatterns in water elucidated with XPS and TEM analysis. The hierarchical patterns in micro- and nano scale leads to superhydrophobic properties on the surfaces by deposition of a hydrophobic coating with a-C:H:Si:O film deposited with a gas precursor of hexamethlydisiloxane (HMDSO) with its lower surface energy of 24.2 mN/m, similar to that of curticular wax covering lotus surfaces. Since this method is based on plasma dry etching & coating, precise patterning of surface texturing would be potential on steel or metal surfaces. Patterned hydrophobic steel surfaces were demonstrated by mimicking the Robinia pseudoacacia or acacia leaf, on which water was collected from the humid air using a patterned hydrophobicity on the steels. It is expected that this facile, non-toxic and fast technique would accelerate the large-scale production of superhydrophobic engineering materials with industrial applications.

  • PDF

Development of High-Quality Poly(3,4-ethylenedioxythiophene) Electrode Pattern Array Using SC1 Cleaning Process (SC1 세척공정을 이용한 고품질 Poly(3,4-ethylenedioxythiophene) 전극 패턴 어레이의 개발)

  • Choi, Sangil;Kim, Wondae;Kim, Sungsoo
    • Journal of Integrative Natural Science
    • /
    • v.4 no.4
    • /
    • pp.311-314
    • /
    • 2011
  • Application of self-assembled monolayers (SAMs) to the fabrication of organic thin film transistor has been recently reported very often since it can help to provide ohmic contact between films as well as to form simple and effective electrode pattern. Accordingly, quality of these ultra-thin films is becoming more imperative. In this study, in order to manufacture a high quality SAM pattern, a hydrophobic alkylsilane monolayer and a hydrophilic aminosilane monolayer were selectively coated on $SiO_2$ surface through the consecutive procedures of a micro-contact printing (${\mu}CP$) and dip-coating methods under extremely dry condition. On a SAM pattern cleaned with SC1 solution immediately after ${\mu}CP$, poly(3,4-ethylenedioxythiophene) (PEDOT) source and drain electrode array were very selectively and nicely vapour phase polymerized. On the other side, on a SC1-untreated SAM pattern, PEDOT array was very poorly polymerized. It strongly suggests that the SC1 cleaning process effectively removes unwanted contaminants on SAM pattern, thereby resulting in very selective growth of PEDOT electrode pattern.

Direct Patterning of Self Assembled Nano-Structures of Block Copolymers via Electron Beam Lithography

  • Yoon Bo Kyung;Hwang Wonseok;Park Youn Jung;Hwang Jiyoung;Park Cheolmin;Chang Joonyeon
    • Macromolecular Research
    • /
    • v.13 no.5
    • /
    • pp.435-440
    • /
    • 2005
  • This study describes a method where the match of two different length scales, i.e., the patterns from self-assembled block copolymer (<50 nm) and electron beam writing (>50 nm), allow the nanometer scale pattern mask. The method is based on using block copolymers containing a poly(methyl methacrylate) (PMMA) block, which is subject to be decomposed under an electron beam, as a pattern resist for electron beam lithography. Electron beam on self assembled block copolymer thin film selectively etches PMMA microdomains, giving rise to a polymeric nano-pattern mask on which subsequent evaporation of chromium produces the arrays of Cr nanoparticles followed by lifting off the mask. Furthermore, electron beam lithography was performed on the micropatterned block copolymer film fabricated by micro-imprinting, leading to a hierarchical self assembled pattern where a broad range of length scales was effectively assembled, ranging from several tens of nanometers, through submicrons, to a few microns.

Study of Via-Typed Air-Gap for Logic Devices Applications below 45 nm Node

  • Kim, Sang-Yong;Kim, Il-Soo;Jeong, Woo-Yang
    • Transactions on Electrical and Electronic Materials
    • /
    • v.12 no.4
    • /
    • pp.131-134
    • /
    • 2011
  • Back-end-of-line using ultra low-k (ULK; k < 2.5) has been required to reduce resistive capacitance beyond 45 nmtechnologies, because micro-processing units need higher speed and density. There are two strategies to manufacture ULK inter-layer dielectric (ILD) materials using an air-gap (k = 1). The former ULK and calcinations of ILD degrade the mechanical strength and induce a high cost due to the complication of following process, such as chemical mechanical polishing and deposition of the barrier metal. In contrast, the air-gap based low-k ILD with a relatively higher density has been researched on the trench-type with activity, but it has limited application to high density devices due to its high air-gap into the next metal layer. The height of air-gap into the next metal layer was reduced by changing to the via-typed air-gap, up to about 50% compared to that of the trench-typed air-gap. The controllable ULK was easily fabricated using the via-typed air-gap. It is thought that the via-type air-gap made the better design margin like via-patterning in the area with the dense and narrow lines.