• 제목/요약/키워드: mask process

검색결과 685건 처리시간 0.026초

Fabrication of TFTs for LCD using 3-Mask Process

  • You, Soon-Sung;Cho, Heung-Lyul;Kwon, Oh-Nam;Nam, Seung-Hee;Chang, Yoon-Gyoung;Kim, Ki-Yong;Cha, Soo-Yeoul;Ahn, Byung-Chul;Chung, In-Jae
    • Journal of Information Display
    • /
    • 제6권3호
    • /
    • pp.18-21
    • /
    • 2005
  • A new technology for reducing photolithography process from a four step to a three step process in the fabrication of TFT LCD is introduced. The core technology for 3-mask-TFT processes is the lift-off process [1], by which the PAS and PXL layers can be formed simultaneously. A different method of the lift-off process was developed in order to enhance the performance of efficiency with conventional positive and not negative PR which is the generally used in other lift-off process. In addition, the removal capacity of the ITO/PR in lift-off process was evaluated. The evaluation results showed that the new process can be run in conventional TFT production condition. In order to apply this new process in existing TFT process, several tests were conducted to ensure stability of the TFT process. It was found that the outgases from PR on the substrate in ITO sputtering chamber do not raise any problem, and the deposited ITO film beside the PR has conventional ITO qualities. Furthemore, the particles that were produced due to the ITO chips in PR strip bath could be reduced by the existing filtering system of stripper. With the development of total process and design of the structure for TFT using this technology, 3-mask-panels were achieved in TN and IPS modes, which showed the same display performances as those with the conventional 4mask process. The applicability and usefulness of the 3-mask process has already verified in the mass production line and in fact it currently being used for the production of some products.

6 Mask LTPS CMOS Technology for AMLCD Application

  • Park, Soo-Jeong;Lee, Seok-Woo;Baek, Myoung-Kee;Yoo, Yong-Su;Kim, Chang-Yeon;Kim, Chang-Dong;Kang, In-Byeong
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2007년도 7th International Meeting on Information Display 제7권2호
    • /
    • pp.1071-1074
    • /
    • 2007
  • 6Mask CMOS process in low temperature polycrystalline silicon thin film transistors (poly-Si TFTs) has been developed and verified by manufacturing a 6Mask CMOS AMLCD panel. The novel 6Mask CMOS process is realized by eliminating the storage mask, gate mask and via open mask of conventional structure.

  • PDF

세도우 마스크 장력에 열공정이 미치는 영향 (Effect of Heat Treatment Process on the Shadow Mask Tension)

  • 현도익;문영훈;조종래
    • 소성∙가공
    • /
    • 제12권5호
    • /
    • pp.487-492
    • /
    • 2003
  • Tension variations with heat treatment in shadow mask for flat braun tubes are investigated in this study. In CRT, landing shift of the electron beam due to thermal deformation of the tension mask made the color purity of screen worse. In order to get the final results of thermal deformation, the tensile force within the mask and the welding processes between the rail and the extended mask have to be analysed sequentially. In this study, the effect of heat treatment is studied in terms of tension variations of shadow mask during its manufacturing process.

AFM 기반 액중 Tribo nanolithography 에서의 마스크 층 내식각성에 관한 연구 (Etch Resistance of Mask Layer modified by AFM-based Tribo-Nanolithography in Aqueous Solution)

  • 박정우;이득우
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2005년도 추계학술대회 논문집
    • /
    • pp.268-271
    • /
    • 2005
  • Etch resistance of mask layer on silicon substrate modified by AFM-based Tribo-Nanolithography (TNL) in Aqueous Solution in an aqueous solution was demonstrated. n consists or sequential processes, nano-scratching and wet chemical etching. The simple scratching can form a mask layer on the silicon substrate, which acting as an etching mask. For TNL, a specially designed cantilever with diamond tip, allowing the formation of mask layer on silicon substrate easily by a simple scratching process, has been applied instead of conventional silicon cantilever fur scanning. This study demonstrates how the TNL parameters can affect the etch resistance of mask layer, hence introducing a new process of AFM-based maskless nanolithography in aqueous solution.

  • PDF

Overlap Margin 확보 및 Side-lobe 억제를 위한 Scattering Bar Optical Proximity Correction (Scattering Bar Optical Proximity Correction to Suppress Overlap Error and Side-lobe in Semiconductor Lithography Process)

  • 이흥주
    • 한국산학기술학회논문지
    • /
    • 제4권1호
    • /
    • pp.22-26
    • /
    • 2003
  • Attenuated PSM lithography 공정에서 overlay margin 확보 및 side-lobe 제거를 위해 기존의 Cr shield 방식의 단점인 복잡한 mask 제작공정과 구조를 단순화하기 위한 방법으로 scattering bar 방식을 제안하였다. Scattering bar는 Cr 보조패턴처럼 완전히 빛을 차단하는 것이 아니라 약간의 빛을 투과시켜 보강된 intensity를 상쇄하므로 side-lobe를 억제하는 방법으로 metal pattern을 생성할 때 scattering bar도 동시에 만들어 mask제작에 필요한 공정횟수를 줄이고 mask구조 역시 단순하게 한다 그리고 동시에 DOF(depth of focus)를 향상시킨다. Background clear pattern의 경우에 발생하는 side-lobe도 scattering bar를 이용하여 효율적으로 제거되었다.

  • PDF

Design of Unsharp Mask Filter based on Retinex Theory for Image Enhancement

  • Kim, Ju-young;Kim, Jin-heon
    • Journal of Multimedia Information System
    • /
    • 제4권2호
    • /
    • pp.65-73
    • /
    • 2017
  • This paper proposes a method to improve the image quality by designing Unsharp Mask Filter (UMF) based on Retinex theory which controls the frequency pass characteristics adaptively. Conventional unsharp masking technique uses blurring image to emphasize sharpness of image. Unsharp Masking(UM) adjusts the original image and sigma to obtain a high frequency component to be emphasized by the difference between the blurred image and the high frequency component to the original image, thereby improving the contrast ratio of the image. In this paper, we design a Unsharp Mask Filter(UMF) that can process the contrast ratio improvement method of Unsharp Masking(UM) technique with one filtering. We adaptively process the contrast ratio improvement using Unsharp Mask Filter(UMF). We propose a method based on Retinex theory for adaptive processing. For adaptive filtering, we control the weights of Unsharp Mask Filter(UMF) based on the human visual system and output more effective results.

The Analysis of Three-dimensional Oxidation Process with Elasto-viscoplastic Model

  • Lee Jun-Ha;Lee Hoong-Joo
    • Transactions on Electrical and Electronic Materials
    • /
    • 제5권6호
    • /
    • pp.215-218
    • /
    • 2004
  • This paper presents a three-dimensional numerical simulation for thermal oxidation process. A new elasto-viscoplastic model for robust numerical oxidation simulation is proposed. The three-dimensional effects of oxidation process such as mask lifting effect and corner effects are analyzed. In nano-scale process, the oxidant diffusion is punched through to the other side of the mask. The mask is lifted so the thickness of oxide region is greatly enhanced. The compressive pressure during the oxidation is largest in the mask corner of the island structure. This is because the masked area near the corner is surrounded by an area larger than the others in the island structure. This stress induces the retardation of the oxide growth, especially at the masked corner in the island structure.

Inductively coupled plasma etching of SnO2 as a new absorber material for EUVL binary mask

  • 이수진
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2010년도 제39회 하계학술대회 초록집
    • /
    • pp.124-124
    • /
    • 2010
  • Currently, extreme ultraviolet lithography (EUVL) is being investigated for next generation lithography. EUVL is one of competitive lithographic technologies for sub-22nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance due to the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore, new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

광조형법을 이용한 고분자 리소그래피에 관한 연구 (A Study on the Polymer Lithography using Stereolithography)

  • 정영대;이현섭;손재혁;조인호;정해도
    • 한국정밀공학회지
    • /
    • 제22권1호
    • /
    • pp.199-206
    • /
    • 2005
  • Mask manufacturing is a high COC and COO process in developing of semiconductor devices because of mask production tool with high resolution. Direct writing has been thought to be the one of the patterning method to cope with development or small-lot production of the device. This study consists two categories. One is the additional process of the direct and maskless patterning generation using SLA for easy and convenient application and the other is a removal process using wet-etching process. In this study, cured status of epoxy pattern is most important parameter because of the beer-lambert law according to the diffusion of UV light. In order to improve the contact force between patterns and substrate, prime process was performed and to remove the semi-cured resin which makes a bad effects to the pattern, spin cleaning process using TPM was also performed. At a removal process, contact force between photo-curable resin as an etching mask and Si wafer is important parameter.

The fabrication of TFTs for LCD using the 3mask process

  • Yoo, Soon-Sung;Cho, Heung-Lyul;Kwon, Oh-Nam;Nam, Seung-Hee;Chang, Yoon-Gyoung;Kim, Ki-Yong;Cha, Soo-Yeoul;Ahn, Byung-Chul;Chung, In-Jae
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2005년도 International Meeting on Information Displayvol.II
    • /
    • pp.948-951
    • /
    • 2005
  • New technology that reduces photolithography process steps from 4 to 3 in fabrication of TFT LCD is introduced. The core technology for 3mask-TFTs is the lift-off process [1], by which the PAS and PXL layer are formed simultaneously. To evaluate the stability of this lift-off process, outgases from photo resist on a substrate during ITO deposition and the quality of ITO film were analyzed and the conventional photo resist stripper machine which operates lift-off process was examined to see its ability to reduce particle problems of the machine. Through the development of total process and design for TFTs using this 3mask technology, panels in TN and IPS modes which exhibit same performances of a display using a conventional process were achieved. In addition, this process was already verified in the mass production line and now some products are being produced by the 3mask technology.

  • PDF