• 제목/요약/키워드: high speed etching process

검색결과 38건 처리시간 0.029초

MEMS 응용을 위한 $Ar^+$ 이온 레이저에 의한 단결정/다결정 실리콘 식각 특성 (Characteristics of single/poly crystalline silicon etching by$Ar^+$ ion laser for MEMS applications)

  • 이현기;한승오;박정호;이천
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제48권5호
    • /
    • pp.396-401
    • /
    • 1999
  • In this study, $Ar^+$ ion laser etching process of single/poly-crystalline Si with $CCl_2F_2$ gas is investigated for MEMS applications. In general, laser direct etching process is useful in microelectronic process, fabrication of micro sensors and actuators, rapid prototyping, and complementary processing because of the advantages of 3D micromachining, local etching/deposition process, and maskless process with high resolution. In this study, a pyrolytic method, in which $CCl_2F_2$ gasetches molten Si by the focused laser, was used. In order to analyze the temperature profile of Si by the focused laser, the 3D heat conduction equation was analytically solved. In order to investigate the process parameters dependence of etching characteristics, laser power, $CCl_2F_2$ gas pressure, and scanning speed were varied and the experimental results were observed by SEM. The aspect ratio was measured in multiple scanning and the simple 3D structure was fabricated. In addition, the etching characteristics of $6\mum$ thick poly-crystalline Si on the insulator was investigated to obtain flat bottom and vertical side wall for MEMS applications.

  • PDF

$Ar^+$ ion laser를 이용한 단결정/다결정 Si 식각 특성 분석 (Analysis of single/poly crystalline Si etching characteristics using $Ar^+$ ion laser)

  • 이현기;박정호;이천
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1998년도 추계학술대회 논문집 학회본부 C
    • /
    • pp.1001-1003
    • /
    • 1998
  • In this paper, $Ar^+$ ion laser etching process of single/poly crystalline silicon with $CCl_{2}F_{2}$ gas is studied for MEMS applications. To investigate the effects of process parameters, laser power, gas pressure, scanning speed were varied and multiple scanning was carried out to obtain high aspect ratio. In addition, scanning width was varied to observe the trench profile etched in repeating scanning cycle. From the etching of $2.6{\mu}m$ thick polycrystalline Si deposited on insulator, trench with flat bottom and vertical side wall was obtained and it is possible to apply this results for MEMS applications.

  • PDF

Improvement of haze ratio of DC-sputtered ZnO:Al thin films through HF vapor texturing

  • Kang, Junyoung;Park, Hyeongsik;Yi, Junsin
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2016년도 제50회 동계 정기학술대회 초록집
    • /
    • pp.319.1-319.1
    • /
    • 2016
  • Recently, the Al-doped ZnO (ZnO:Al) films are intensively used in thin film a-Si solar cell applications due to their high transmittance and good conductivity. The textured ZnO:Al films are used to enhance the light trapping in thin film solar cells. The wet etch process is used to texture ZnO:Al films by dipping in diluted acidic solutions like HCl or HF. During that process the glass substrate could be damaged by the acidic solution and it may be difficult to apply it for the inline mass production process since it has to be done outside the chamber. In this paper we report a new technique to control the surface morphology of RF-sputtered ZnO:Al films. The ZnO:Al films are textured with vaporized HF formed by the mixture of HF and H2SiO3 solution. Even though the surface of textured ZnO:Al films by vapor etching process showed smaller and sharper surface structures compared to that of the films textured by wet etching, the haze value was dramatically improved. We achieved the high haze value of 78% at the wavelength of 540 nm by increasing etching time and HF concentration. The haze value of about 58% was achieved at the wavelength of 800 nm when vapor texturing was used. The ZnO:Al film texture by HCl had haze ratio of about 9.5 % at 800 nm and less than 40 % at 540 nm. In addition to low haze ratio, the texturing by HCl was very difficult to control etching and to keep reproducibility due to its very fast etching speed.

  • PDF

Effects of Etch Parameters on Etching of CoFeB Thin Films in $CH_4/O_2/Ar$ Mix

  • Lee, Tea-Young;Lee, Il-Hoon;Chung, Chee-Won
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.390-390
    • /
    • 2012
  • Information technology industries has grown rapidly and demanded alternative memories for the next generation. The most popular random access memory, dynamic random-access memory (DRAM), has many advantages as a memory, but it could not meet the demands from the current of developed industries. One of highlighted alternative memories is magnetic random-access memory (MRAM). It has many advantages like low power consumption, huge storage, high operating speed, and non-volatile properties. MRAM consists of magnetic-tunnel-junction (MTJ) stack which is a key part of it and has various magnetic thin films like CoFeB, FePt, IrMn, and so on. Each magnetic thin film is difficult to be etched without any damages and react with chemical species in plasma. For improving the etching process, a high density plasma etching process was employed. Moreover, the previous etching gases were highly corrosive and dangerous. Therefore, the safety etching gases are needed to be developed. In this research, the etch characteristics of CoFeB magnetic thin films were studied by using an inductively coupled plasma reactive ion etching in $CH_4/O_2/Ar$ gas mixes. TiN thin films were used as a hardmask on CoFeB thin films. The concentrations of $O_2$ in $CH_4/O_2/Ar$ gas mix were varied, and then, the rf coil power, gas pressure, and dc-bias voltage. The etch rates and the selectivity were obtained by a surface profiler and the etch profiles were observed by a field emission scanning electron microscopy. X-ray photoelectron spectroscopy was employed to reveal the etch mechanism.

  • PDF

습식 에칭 공정에서의 과산화수소 이상반응에 대한 안전 대책 및 제어에 관한 연구 (A study on Safety Management and Control in Wet-Etching Process for H2O2 Reactions)

  • 유흥렬;손영득
    • 한국산학기술학회논문지
    • /
    • 제19권4호
    • /
    • pp.650-656
    • /
    • 2018
  • TFT-LCD 산업은 반도체와 유사한 공정기술을 갖는 대규모 장치 산업으로 일종의 Giant Microelectronics 산업이다. 습식 에칭(Wet Etching)은 전체 TFT 공정에서 비교적 큰 비중을 차지하고 있지만 발표된 연구사례는 부족한 실정이다. 그 주요 원인은 반응이 일어나는 에칭액(Etchant) 성분이 기업의 비밀로 간주되어 외부에 발표되는 사례가 거의 없기 때문이다. 최근 대면적 LCD 제조를 위하여 사용되는 알루미늄(Al)과 구리(Cu)는 습식 에칭을 진행하기에 매우 까다로운 물질이다. 저 저항성 재료인 Cu는 습식 에칭 공정에서만 가능하며 높은 속도와 낮은 실패율, 적은 소비전력으로 Al 에칭 대용으로 사용하고 있다. 그리고 에칭액으로 사용하는 과산화수소($H_2O_2$)의 이상 반응으로 추가적인 배관 및 전기적인 안전장치가 필요하다. 본 논문에서는 과산화수소의 이상 반응을 제한하지는 못하나 이상 반응 발생 시 설비의 피해를 최소화 할 수 있는 방법을 제안한다. 또한 최근에 알루미늄 에칭설비에서 구리 에칭설비로 변경하는 사례가 많아 구리 에칭설비에 대한 하드웨어 인터록을 제안하고 안전 등급이 높은 안전 PLC로 구현하여 이상 반응에 대한 대비책을 강구하는 방안을 제안한다.

저 유전 재료의 에칭 공정을 위한 $H_2/N_2$ 가스를 이용한 Capacitively Coupled Plasma 시뮬레이션 (Capacitively Coupled Plasma Simulation for Low-k Materials Etching Process Using $H_2/N_2$ gas)

  • 손채화
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제55권12호
    • /
    • pp.601-605
    • /
    • 2006
  • The resistance-capacitance (RC) delay of signals through interconnection materials becomes a big hurdle for high speed operation of semiconductors which contain multi-layer interconnections in smaller scales with higher integration density. Low-k materials are applied to the inter-metal dielectric (IMD) materials in order to overcome the RC delay. Relaxation continuum (RCT) model that includes neutral-species transport model have developed to model the etching process in a capacitively coupled plasma (CCP) device. We present the parametric study of the modeling results of a two-frequency capacitively coupled plasma (2f-CCP) with $N_2/H_2$ gas mixture that is known as promising one for organic low-k materials etching. For the etching of low-k materials by $N_2/H_2$ plasma, N and H atoms have a big influence on the materials. Moreover the distributions of excited neutral species influence the plasma density and profile. We include the neutral transport model as well as plasma one in the calculation. The plasma and neutrals are calculated self-consistently by iterating the simulation of both species till a spatio-temporal steady state profile could be obtained.

Fabrication of Large Area Transmission Electro-Absorption Modulator with High Uniformity Backside Etching

  • Lee, Soo Kyung;Na, Byung Hoon;Choi, Hee Ju;Ju, Gun Wu;Jeon, Jin Myeong;Cho, Yong Chul;Park, Yong Hwa;Park, Chang Young;Lee, Yong Tak
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제45회 하계 정기학술대회 초록집
    • /
    • pp.220-220
    • /
    • 2013
  • Surface-normal transmission electro-absorption modulator (EAM) are attractive for high-definition (HD) three-dimensional (3D) imaging application due to its features such as small system volume and simple epitaxial structure [1,2]. However, EAM in order to be used for HD 3D imaging system requires uniform modulation performance over large area. To achieve highly uniform modulation performance of EAM at the operating wavelength of 850 nm, it is extremely important to remove the GaAs substrate over large area since GaAs material has high absorption coefficient below 870 nm which corresponds to band-edge energy of GaAs (1.424 eV). In this study, we propose and experimentally demonstrate a transmission EAM in which highly selective backside etching methods which include lapping, dry etching and wet etching is carried out to remove the GaAs substrate for achieving highly uniform modulation performance. First, lapping process on GaAs substrate was carried out for different lapping speeds (5 rpm, 7 rpm, 10 rpm) and the thickness was measured over different areas of surface. For a lapping speed of 5 rpm, a highly uniform surface over a large area ($2{\times}1\;mm^2$) was obtained. Second, optimization of inductive coupled plasma-reactive ion etching (ICP-RIE) was carried out to achieve anisotropy and high etch rate. The dry etching carried out using a gas mixture of SiCl4 and Ar, each having a flow rate of 10 sccm and 40 sccm, respectively with an RF power of 50 W, ICP power of 400 W and chamber pressure of 2 mTorr was the optimum etching condition. Last, the rest of GaAs substrate was successfully removed by highly selective backside wet etching with pH adjusted solution of citric acid and hydrogen peroxide. Citric acid/hydrogen peroxide etching solution having a volume ratio of 5:1 was the best etching condition which provides not only high selectivity of 235:1 between GaAs and AlAs but also good etching profile [3]. The fabricated transmission EAM array have an amplitude modulation of more than 50% at the bias voltage of -9 V and maintains high uniformity of >90% over large area ($2{\times}1\;mm^2$). These results show that the fabricated transmission EAM with substrate removed is an excellent candidate to be used as an optical shutter for HD 3D imaging application.

  • PDF

백색광 간섭계의 정밀도 향상을 위한 노이즈 제거 방법 (Development of Elimination Method of Measurement noise to Improve accuracy for White Light Interferometry)

  • 고국원;조수용;김민영
    • 제어로봇시스템학회논문지
    • /
    • 제14권6호
    • /
    • pp.519-522
    • /
    • 2008
  • As industry of a semiconductor and LCD industry have been rapidly growing, precision technologies of machining such as etching and 3D measurement are required. Stylus has been important measuring method in traditional manufacturing process. However, its disadvantages are low measuring speed and damage possibility at contacting point. To overcome mentioned disadvantage, non-contacting measurement method is needed such as PMP(Phase Measuring Profilometry), WSI(white scanning interferometer) and Confocal Profilometry. Among above 3 well-known methods, WSI started to be applied to FPD(flat panel display) manufacturing process. Even though it overcomes 21t ambiguity of PMP method and can measure objects which has specular surface, the measuring speed and vibration coming from manufacturing machine are one of main issue to apply full automatic total inspection. In this study, We develop high speed WSI system and algorithm to reduce unknown noise. The developing WSI and algorithm are implemented to measure 3D surface of wafer. Experimental results revealed that the proposed system and algorithm are able to measure 3D surface profile of wafer with a good precision and high speed.

마이크로 열소자 제작을 위한 고세장비 금속채널의 레이저 가공 (Laser micromachining of high-aspect-ratio metallic channels for the application to microthermal devices)

  • 오광환;이민규;정성호
    • 한국광학회지
    • /
    • 제17권5호
    • /
    • pp.437-446
    • /
    • 2006
  • 본 연구에서는 레이저유도 에칭기술을 이용한 스테인레스강의 고세장비 미세채널 제조에 대하여 기술한다. 공정 변수 최적화와 반복에칭을 통하여 높은 세장비를 갖는 미세채널을 제조하였으며 제조된 미세채널은 레이저출력과 에칭용액의 농도를 적절하게 조절함으로써 U 형상과 V 형상 사이의 단면 구조를 가지며 열변형이 없는 우수한 표면 형상을 보였다. 채널과 채널 사이의 간격은 $150{\mu}m$ 또는 그 이하이며 $15{\sim}50{\mu}m$ 범위의 폭을 갖는 10 이상의 고세장비 미세채널이 제조되었다. 레이저출력, 레이저초점의 이송속도, 에칭용액의 농도 등의 공정 변수들이 제조된 채널의 폭, 깊이 그리고 단면 형상에 미치는 영향에 대하여 자세히 보고한다.

반도체 기판 교차 파지 방법 (Chucking Method of Substrate Using Alternating Chuck Mechanism)

  • 안영기;최중봉;구교욱;조중근;김태성
    • 반도체디스플레이기술학회지
    • /
    • 제8권1호
    • /
    • pp.1-5
    • /
    • 2009
  • Typically, single-wafer wet etching is done by dispensing chemical onto the front and back side of spin wafer. The wafer is fixed by a number of chuck pins, which obstruct the chemical flow and would result in the incomplete removal of the remaining film, which can become a source of contamination in the next process. In this paper, we introduce a novel design of wafer chuck, in which chuck pins are groupped into two and each group of pins fixes the substrate alternatively. Two groups of chuck pins fix the high-speed spin substrate with non contact method using a magnetic material. The actual process has been executed to observe the effectiveness of this new wafer chuck. It was found that the new wafer chuck performed better than the conventional wafer chuck for removing the remaining film from the bevel and edge side of substrate.

  • PDF