• Title/Summary/Keyword: hard mask

Search Result 69, Processing Time 0.037 seconds

Deconstructive Features of Headdress Found in Jean Paul Gaultier's Collection (Jean Paul Gaultier 컬렉션에 나타난 헤드드레스의 해체적 특성)

  • Kim, Sun-Young
    • Journal of the Korean Society of Clothing and Textiles
    • /
    • v.36 no.5
    • /
    • pp.489-500
    • /
    • 2012
  • This work surveys the trend and dissolution characteristics in headdress that appear in Jean Paul Gaultier's collection. Along with a related literature review, a total of 903 headdress pieces shown in collections 40 times (excluding the common caps and hats) were analyzed, covering from 2001S/S to 2010 F/W of Jean Paul Gaultier's Haute Couture and Pret-a-Porter. The headdress trend indicated in his collections was divided into such subgroup forms of folklore, usage of natural things, usage of artificial things, religion, retro, scarf, variations in headgear, mask and veil, atypical type and abstract. Such an expression tendency was so unique and mixed characteristic that it was hard to define its form and structure thanks to enlargement and exaggeration, extremity in ornaments, and use of foreign materials, which led to creative dynamics. Gaultier's headdress also reflected the following characteristics: first, expression of difference indicative of time deconstruction; second, uncertainty of meanings via deconstruction; third, text interactivity via deconstruction of gender and material adopted; fourth, decentralization through dissolution of the Orient and the Occident.

Dry Etching of Al2O3 Thin Films in O2/BCl3/Ar Inductively Coupled Plasma

  • Yang, Xeng;Woo, Jong-Chang;Um, Doo-Seung;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.11 no.5
    • /
    • pp.202-205
    • /
    • 2010
  • In this study, the etch properties of $Al_2O_3$ thin films deposited by atomic layer deposition were investigated as a function of the $O_2$ content in $BCl_3$/Ar inductively coupled plasma. The experiments were performed by comparing the etch rates and selectivity of $Al_2O_3$ over the hard mask materials as functions of the input plasma parameters, such as the gas mixing ratio, DC-bias voltage, ratio-frequency (RF) power and process pressure. The highest obtained etch rate was 477 nm/min at an RF power of 700 W, $O_2$ to $BCl_3$/Ar gas ratio of 15%, DC-bias voltage of -100 V and process pressure of 15 mTorr. The deposition occurred on the surfaces when the amount of $O_2$ added to the $BCl_3$/Ar gas was too high at a low DC-bias voltage or high process pressure. X-ray photoelectron spectroscopy was used to investigate the chemical reactions on the etched surface.

Change the Properties of Amorphous Carbon Hardmask Film Prepared with the Variation of Process Parameters in Plasma Enhanced Chemical Vapor Depostion Systems

  • Kim, Seok Hwan;Yeo, Sanghak;Yang, Jaeyoung;Park, Keunoh;Hur, Gieung;Lee, Jaeho;Lee, Jaichan
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.381.2-381.2
    • /
    • 2014
  • In this study the amorphous carbon films were deposited by PECVD at the substrate temperature range of 250 to $600^{\circ}C$, and the process conditions of higher and lower precursor flow rate, respectively. The temperature was a main parameter to control the density and mirco-structures of carbon films, and their's properties depended with the process temperatrue are changed by controlling precursor flow rate. The precursor feeding rate affect on the plasma ion density and a deposition reactivity. This change of film properties was obtained the instrinsic stress, FT-IR & Raman analysis, refractive index (RI) and ext. coef. (k) measured by ellipsometer. In the process conditions of lower and higher flow rate of precursor it had a different intrinsic stress as a function of the substrate temperature.

  • PDF

Surface Roughness Evolution of Gate Poly Silicon with Rapid Thermal Annealing (미세게이트용 폴리실리콘의 쾌속 열처리에 따른 표면조도 변화)

  • Song, Oh-Sung;Kim, Sang-Yeop
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.6 no.3
    • /
    • pp.261-264
    • /
    • 2005
  • The 90 nm gate pattern technology have been virtualized by employing the hard mask and the planarization of fate poly silicon. We fabricated 70nm poly-Si on $200 nm-SiO_2/p-Si(100)$ substrates using low pressure chemical vapor deposition (LPCVD) to investigate roughness evolution by varying rapid annealing temperatures. The samples were annealed at the temperatures of $700^{\circ}C\~1100^{\circ}C$ for 40 seconds with a rapid thermal annealer. The surface image and the surface roughness were measured by a field emission scanning electron microscopy (FESEM) and an atomic force microscopy (AFM), respectively. The poly silicon surface became more rough as temperature increased due to surface agglomeration. The optimum conditions of poly silicon planarization were achieved by annealed at $700^{\circ}C$ for 40 seconds.

  • PDF

Role of gas flow rate during etching of hard-mask layer to extreme ultra-violet resist in dual-frequency capacitively coupled plasmas

  • Gwon, Bong-Su;Lee, Jeong-Hun;Lee, Nae-Eung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.132-132
    • /
    • 2010
  • In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.

  • PDF

Formation of $Al_O_3$Barrier in Magnetic Junctions on Different Substrates by $O_2$Plasma Etching

  • Wang, Zhen-Jun;Jeong, Won-Cheol;Yoon, Yeo-Geon;Jeong66, Chang-Wook;Joo, Seung-Ki
    • Journal of Magnetics
    • /
    • v.6 no.3
    • /
    • pp.90-93
    • /
    • 2001
  • Co/$Al_O_3$/NiFe and CO/$Al_O_3$/Co tunnel junctions were fabricated by a radio frequency magnetron sputtering at room temperature with hard mask on glass and $4^{\circ}$ tilt cut Si (111) substrates. The barrier layer was formed through two steps. After the Al layer was deposited, it was oxidized in the chamber of a reactive ion etching system (RIE) with $O_2$plasma at various conditions. The dependence of the TMR value and junction resistance on the thickness of Al layer (before oxidation) and oxidation parameters were investigated. Magnetoresistance value of 7% at room temperature was obtained by optimizing the Al layer thickness and oxidation conditions. Circular shape junctions on $4^{\circ}$tilt cut Si (111) substrate showed 4% magnetoresistance. Photovoltaic energy conversion effect was observed with the cross-strip geometry junctions on Si substrate.

  • PDF

Ion beam etching of sub-30nm scale Magnetic Tunnel Junction for minimizing sidewall leakage path

  • Kim, Dae-Hong;Kim, Bong-Ho;Chun, Sung-Woo;Kwon, Ji-Hun;Choi, Seon-Jun;Lee, Seung-Beck
    • Proceedings of the Korean Magnestics Society Conference
    • /
    • 2011.12a
    • /
    • pp.29-30
    • /
    • 2011
  • We have demonstrated the fabrication of sub 30 nm MTJ pillars with PMA characteristics. The multi-step IBE process performed at $45^{\circ}$ and $30^{\circ}$, using NER resulted in almost vertical side profiles. There deposition on the sidewalls of the NER prevented lateral etching of the resist hard mask allowing vertical MTJ side profile formation without any reduction in the lithographically defined resist lateral dimensions. For the 28nm STT-MTJ pillars, the measured TMR ratio was 13 % with resistance of 1 $k{\Omega}$, which was due to remaining redeposition layers less than 0.1 nm thick. With further optimization in multi-step IBE conditions, it will be possible to fabricate fully operating sub 30 nm perpendicular STT-MTJ structures for application to future non-volatile memories.

  • PDF

CoFeB과 IrMn 자성 박막의 고밀도 반응성 이온 식각

  • Kim, Eun-Ho;So, U-Bin;Gong, Seon-Mi;Jeong, Yong-U;Jeong, Ji-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.232-232
    • /
    • 2010
  • 정보화 산업의 발달은 DRAM, flash memory 등을 포함한 기존의 반도체 메모리 소자를 대체할 수 있는 차세대 메모리 소자에 대한 개발을 요구하고 있다. 특히 magnetic random access memory (MRAM)는 SRAM과 대등한 고속화 그리고 DRAM 보다 높은 기록 밀도가 가능하고 낮은 동작 전압과 소비전력 때문에 대표적인 차세대 비휘발성 메모리로 주목받고 있다. 또한 MRAM소자의 고집적화를 위해서 우수한 프로파일을 갖고 재증착이 없는 나노미터 크기의 magnetic tunnel junction (MTJ) stack의 건식 식각에 대한 연구가 선행되어야 한다. 본 연구에서는 고밀도 반응성 이온 식각법(Inductively coupled plasma reactive ion etching; ICPRIE)을 이용하여 재증착이 없이 우수한 식각 profile을 갖는 CoFeB과 IrMn 박막을 형성하고자 하였다. Photoresist(PR) 및 Ti 박막의 두 가지 마스크를 이용하여 HBr/Ar, HBr/$O_2$/Ar 식각 가스들의 농도를 변화시키면서 CoFeB과 IrMn 박막의 식각 특성들이 조사되었다. 자성 박막과 동일한 조건에 대하여 hard mask로서 Ti가 식각되었다. 좋은 조건을 얻기 위해 HBr/Ar 식각 가스를 이용 식각할 때 pressure, bias voltage, rf power를 변화시켰고 식각조건에서 Ti 하드마스크에 대한 자성 박막들의 selectivity를 조사하고 식각 profile을 관찰하였다. 식각 속도를 구하기 위해 alpha step(Tencor P-1)이 사용되었고 또한 field emission scanning electron microscopy(FESEM)를 이용하여 식각 profile을 관찰함으로써 최적의 식각 가스와 식각 조건을 찾고자 하였다.

  • PDF

Investigation of Etching Characteristics for Powered Edge-Ring Utilizing PI-VM in Capacitively Coupled Argon/SF6/O2 Plasma (PI-VM을 이용한 용량 결합 Ar/SF6/O2 플라즈마에서의 전력 인가 에지 링 식각 특성 조사)

  • Hyunju Lee;Jaemin Song;Taejun Park;Nam-Kyun Kim;Gon-Ho Kim
    • Journal of the Semiconductor & Display Technology
    • /
    • v.22 no.4
    • /
    • pp.7-12
    • /
    • 2023
  • The edge ring placed on the outside of the electrostatic chuck (ESC) is a key component for protecting the ESC and controlling the etching uniformity of the edge of the wafer. Therefore, it is very important to understand the etching phenomenon of edge rings for edge ring management and equipment homeostasis. In this study, a specimen with SiO2 hard mask and underlying Si mold was installed on the edge ring surface and the etching results were measured by varying the edge ring 2MHz RF power. By developing PI-VM model with high prediction accuracy and analyzing the roles of key parameters in the model, we were able to evaluate the effect of plasma and sheath characteristics around the edge ring on edge ring erosion. This analysis method provided information necessary for edge ring maintenance and operation.

  • PDF

Segmentation of Defective Regions based on Logical Discernment and Multiple Windows for Inspection of TFT-LCD Panels (TFT-LCD 패널 검사를 위한 지역적 분별에 기반한 결함 영역 분할 알고리즘)

  • Chung, Gun-Hee;Chung, Chang-Do;Yun, Byung-Ju;Lee, Joon-Jae;Park, Kil-Houm
    • Journal of Korea Multimedia Society
    • /
    • v.15 no.2
    • /
    • pp.204-214
    • /
    • 2012
  • This paper proposes an image segmentation for a vision-based automated defect inspection system on surface image of TFT-LCD(Thin Film Transistor Liquid Crystal Display) panels. TFT-LCD images have non-uniform brightness, which is hard to finding defective regions. Although there are several methods or proposed algorithms, it is difficult to divide the defect with high reliability because of non-uniform properties in the image. Kamel and Zhao disclosed a method which based on logical stage algorithm for segmentation of graphics and character. This method is a one of the local segmentation method that has a advantage. It is that characters and graphics are well segmented in an image which has non-uniform property. As TFT-LCD panel image has a same property, so this paper proposes new algorithm to segment regions of defects based on Kamel and Zhao's algorithm. Our algorithm has an advantage that there are a few ghost objects around the defects. We had experiments to prove performance in real TFT-LCD panel images, and comparing with the FFT(Fast Fourier Transform) method which is used a bandpass filter.