• 제목/요약/키워드: etching mask

검색결과 233건 처리시간 0.028초

AFM 기반 액중 Tribo nanolithography 에서의 마스크 층 내식각성에 관한 연구 (Etch Resistance of Mask Layer modified by AFM-based Tribo-Nanolithography in Aqueous Solution)

  • 박정우;이득우
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2005년도 추계학술대회 논문집
    • /
    • pp.268-271
    • /
    • 2005
  • Etch resistance of mask layer on silicon substrate modified by AFM-based Tribo-Nanolithography (TNL) in Aqueous Solution in an aqueous solution was demonstrated. n consists or sequential processes, nano-scratching and wet chemical etching. The simple scratching can form a mask layer on the silicon substrate, which acting as an etching mask. For TNL, a specially designed cantilever with diamond tip, allowing the formation of mask layer on silicon substrate easily by a simple scratching process, has been applied instead of conventional silicon cantilever fur scanning. This study demonstrates how the TNL parameters can affect the etch resistance of mask layer, hence introducing a new process of AFM-based maskless nanolithography in aqueous solution.

  • PDF

Inductively coupled plasma etching of SnO2 as a new absorber material for EUVL binary mask

  • 이수진
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2010년도 제39회 하계학술대회 초록집
    • /
    • pp.124-124
    • /
    • 2010
  • Currently, extreme ultraviolet lithography (EUVL) is being investigated for next generation lithography. EUVL is one of competitive lithographic technologies for sub-22nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance due to the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore, new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

유-무기 하이브리드 하드마스크 소재의 합성 및 식각 특성에 관한 연구 (Synthesis and Etch Characteristics of Organic-Inorganic Hybrid Hard-Mask Materials)

  • 유제정;황석호;김상범
    • 한국산학기술학회논문지
    • /
    • 제12권4호
    • /
    • pp.1993-1998
    • /
    • 2011
  • 반도체 산업은 지속적으로 비약적인 발전을 이루어내면서 점점 고집적회로를 제작하기 위하여 패턴의 미세화가 이루어지게 되었다. 현재 미세 나노패턴의 형성을 위하여 여러층의 하드마스크가 사용되고 있으며, 화학증기증착(CVD)공정을 이용하여 형성한다. 이에 본 연구에서는 스핀공정(spin-on process)이 가능한 유-무기 하이브리드 중합체를 이용한 단일층의 하드마스크를 제작하였는데, 하드마스크 내의 무기계 성분이 감광층 보다 쉽게 식각되는 반면에 하드마스크의 유기계 성분으로 인해 substrate층 보다 덜 식각되었다. 유-무기 하이브리드 중합체를 이용한 하드마스크막의 광학 및 표면 특성을 조사하였고, 감광층과 하드마스크막의 식각비를 비교하여 유-무기소재의 하이브리드중합체에 대한 미세패턴을 형성시킬 수 있는 하드마스크막으로써의 유용성을 확인하였다.

Developing improvement technology in pre-etching process for the Shadow Mask quality of flat color TV

  • Park, Jong-Moo;Park, Kwang-Ho;Jung, Hyo-Jin
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2003년도 International Meeting on Information Display
    • /
    • pp.1164-1167
    • /
    • 2003
  • Recently CRT is getting flatted, As change of CRT trend from normal type to Flat type, the material of Shadow Mask was also changed from AK(Aluminum Killed) to Invar(Fe-Ni alloy) materials Until now we have used just AK(Aluminum Killed) for normal type TV(not flat type), but main raw material of shadow mask component was changed. . However recently Invar(Fe-Ni alloy) materials, which has advantage of Low Thermal Expansion and High Strength, has been developed as well as applying in mass production as CRT's trend has become more flat and fine pitch. As main raw material of shadow mask component was changed, conditions of process were changed. One of them, the importance of pre-etching process (assistant process for developing & etching) is improved because there are so many particles in the pre-etching bath because of Ni compounds. Since the solubility of Ni in pre-etching solvent is very low related to Fe's, so the compounds of Ni happen to make particles.(the solubility of Fe is twenty times Ni's) that particles happen to make process troubles and NG productions so to clear the particles we had to established high cost filtering system, but it is useless. As time goes by the quantity of particles (Ni compounds) was increased because of the capability of filtering system was not enough, the particles was produced continuous in bath, and it make quality problems. Hence we tried to develop the new pre-etching solution to remove the particles (Ni compounds) and to cost down the filtering system's running cost. But in improving the solution we discovered the new pre-etching solution made the PR developing better. In former solution there were three kinds of chemistry (COOH)2 , H2O2 , H2S04 .first the function of (COOH)2 is drilling the surface of Invar, during this mechanism Ni compounds occurred. Second the function of H202 is removing the PR fringe (half UV exposure zone on PR(PVA)), Third the function of H2S04 is the catalysis of (COOH)2 In those, (COOH)2 was the main reason to make the Ni compounds. So to improve the solutions we had to change (COOH)2 to the other material. the chemistry we improved was a complex chemistry based on H2S04 . after using this chemistry the particles problem was disappeared and there was another advantage cut down the PR fringe. The New solution made the function of H202 better so the PR developing improved. To be direct the catalyst of the new solution helped the H202. anyway First thing after change the solution the quality of shadow Mask for flat color TV was improved & the yield also improved. But the more important thing is how to control the new solution. So we accepted the new concept which was the degree of freshness. The degree of freshness is based on non-reacted solution which was 100% ( the degree of freshness) and calculated the melted Ni quantity as time goes by. So we made the gauging liner plot. In conclusion, many companies tried to make fine pitched Shadow Mask ,generally to make quality jump up it needed a lot of cost & persons .in this case the shift of core material made it possible.

  • PDF

Shadow Mask용 냉간 압연박판의 잔류응력과 변형 해석 (Analysis of Residual Stress and Etching Curl of Cold Rolled Sheet in Shadow Mask)

  • 정호승;조종래;문영훈;김교성
    • 소성∙가공
    • /
    • 제12권2호
    • /
    • pp.123-127
    • /
    • 2003
  • The cold rolling conditions for the ultra thin steel for tension mask are very important because the residual stress that affects the flatness of strip is generate during the cold rolling. The residual stress in the sheet causes etching curls when it suffers perforation process. The residual stress through the thickness. To estimate the residual stress and deformation due to etching curl. FEM analysis is performed. Numerical simulation employ a ANSY5 5.6 and an elastic-plastic constitutive equation. The simulation results indicate the distribution of residual stress in the rolled sheet can be controlled by selecting the rolling conditions properly.

폴리머와 금속을 이용한 유리 식각 마스크의 저작 및 이를 이용한 유리 가공 (Fabrication of Glass Etching Mask using Various Polymers and Metals and Test of it in Glass Micromaching)

  • 전도한;심우영;양상식
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2004년도 추계학술대회 논문집 전기물성,응용부문
    • /
    • pp.268-270
    • /
    • 2004
  • This paper reports a novel masking method with various mask materials for wet etching of glass. Various mask materials such as Cr/Au, Ti/Au, Polyimide and thick SU-8 photoresist were investigated for borosilicate glass (Borofloat33) etching in concentrated hydrofluoric acid (48% HF). Polyimide and thick SU-8 photoresist are not suitable as masking material due to its poor adhesion to glass surfaces. Titanium has good adhesion is suitable as the first layer to make multi-protective layers. The best protection was obtained with a combination of Ti/Au, polyimide and Ti/Au as masking material with etch depth of $350{\mu}m$ achieved.

  • PDF

The Optimum Condition of Anisotropic Bulk(10) Si Etching with KOH for High Selectivity and Low Surface Roughness

  • Lim, Hyung-Teak;Kim, Yong-Kweon;Lee, Seung-Ki
    • Journal of Electrical Engineering and information Science
    • /
    • 제2권5호
    • /
    • pp.108-113
    • /
    • 1997
  • In this paper, the optimum condition of (110) Si etching with the potassium hydroxide(KOH) etchant is presented. Although several researches on (110) Si anisotropic etching have been studied, there has been lack of effects of mask quality and etching conditions on the selectivity and the roughness o the etched surface. Three kinds of masks (film, emulsion and E-beam mask) were used in order to verify the effect of etching properties. Anisotropic bulk etching depends on the crystalline orientation and the concentration and temperature of the etchant. In order to investigate the effect of etching conditions on selectivity and the roughness of the etched surface, the concentration of the etchant was varied from 35 to 45 per cent in weight with increments by 5 per cent and the temperature was changed from 70 to 90$^{\circ}C$ with increments by 10$^{\circ}C$. The combination of the temperature of 70$^{\circ}C$ and the concentration of 40wt.% was found to be the optimum etching condition for high selectivity. Etched surfaces show minimum surfaces show minimum surface roughness at a temperature of 80$^{\circ}C$ and a concentation of 40wt.%. Comb structures with various comb widths were fabricated and the lengths of the combs wree measured with several etching time durations. A micro comb structure 525$\mu\textrm{m}$ high was fabricated for MEMS application.

  • PDF

TFT-LCDs 게이트 전극에 적용한 Cu(Mg) 합금 박막의 건식식각 (A Dry-patterned Cu(Mg) Alloy Film as a Gate Electrode in a Thin Film Transistor Liquid Crystal Displays (TFT- LCDs))

  • 양희정;이재갑
    • 한국재료학회지
    • /
    • 제14권1호
    • /
    • pp.46-51
    • /
    • 2004
  • The annealing of a Cu(4.5at.% Mg)/$SiO_2$/Si structure in ambient $O_2$, at 10 mTorr, and $300-500^{\circ}C$, allows for the outdiffusion of the Mg to the Cu surface, forming a thin MgO (15 nm) layer on the surface. The surface MgO layer was patterned, and successfully served as a hard mask, for the subsequent dry etching of the underlying Mg-depleted Cu films using an $O_2$ plasma and hexafluoroacetylacetone [H(hfac)] chemistry. The resultant MgO/Cu structure, with a taper slope of about $30^{\circ}C$ shows the feasibility of the dry etching of Cu(Mg) alloy films using a surface MgO mask scheme. A dry-etched Cu(4.5at.% Mg) gate a-Si:H TFT has a field effect mobility of 0.86 $\textrm{cm}^2$/Vs, a subthreshold swing of 1.08 V/dec, and a threshold voltage of 5.7 V. A novel process for the dry etching of Cu(Mg) alloy films, which eliminates the use of a hard mask, such as Ti, and results in a reduction in the process steps is reported for the first time in this work.

광조형법을 이용한 고분자 리소그래피에 관한 연구 (A Study on the Polymer Lithography using Stereolithography)

  • 정영대;이현섭;손재혁;조인호;정해도
    • 한국정밀공학회지
    • /
    • 제22권1호
    • /
    • pp.199-206
    • /
    • 2005
  • Mask manufacturing is a high COC and COO process in developing of semiconductor devices because of mask production tool with high resolution. Direct writing has been thought to be the one of the patterning method to cope with development or small-lot production of the device. This study consists two categories. One is the additional process of the direct and maskless patterning generation using SLA for easy and convenient application and the other is a removal process using wet-etching process. In this study, cured status of epoxy pattern is most important parameter because of the beer-lambert law according to the diffusion of UV light. In order to improve the contact force between patterns and substrate, prime process was performed and to remove the semi-cured resin which makes a bad effects to the pattern, spin cleaning process using TPM was also performed. At a removal process, contact force between photo-curable resin as an etching mask and Si wafer is important parameter.

AFM 기반 Tribo-Nanolithography 를 위한 초미세 다이아몬드 팁 켄틸레버의 제작 (Fabrication of Micro Diamond Tip Cantilever for AFM-based Tribo-Nanolithography)

  • 박정우;이득우
    • 한국정밀공학회지
    • /
    • 제23권8호
    • /
    • pp.39-46
    • /
    • 2006
  • Nano-scale fabrication of silicon substrate based on the use of atomic force microscopy (AFM) was demonstrated. A specially designed cantilever with diamond tip, allowing the formation of damaged layer on silicon substrate by a simple scratching process, has been applied instead of conventional silicon cantilever for scanning. A thin mask layer forms in the substrate at the diamond tip-sample junction along scanning path of the tip. The mask layer withstands against wet chemical etching in aqueous KOH solution. Diamond tip acts as a patterning tool like mask film for lithography process. Hence these sequential processes, called tribo-nanolithography, TNL, can fabricate 2D or 3D micro structures in nanometer range. This study demonstrates the novel fabrication processes of the micro cantilever and diamond tip as a tool for TNL using micro-patterning, wet chemical etching and CVD. The developed TNL tools show outstanding machinability against single crystal silicon wafer. Hence, they are expected to have a possibility for industrial applications as a micro-to-nano machining tool.