• Title/Summary/Keyword: end point detection signal

Search Result 28, Processing Time 0.03 seconds

A study on EPD(End Point Detection) controller on plasma teaching process (플라즈마 식각공정에서의 EPD(End Point Detection) 제어기에 관한 연구)

  • 최순혁;차상엽;이종민;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 1996.10b
    • /
    • pp.415-418
    • /
    • 1996
  • Etching Process, one of the most important process in semiconductor fabrication, has input control part of which components are pressure, gas flow, RF power and etc., and plasma gas which is complex and not exactly understood is used to etch wafer in etching chamber. So this process has not real-time feedback controller based on input-output relation, then it uses EPD(End Point Detection) signal to determine when to start or when to stop etching. Various type EPD controller control etching process using EPD signal obtained from optical intensity of etching chamber. In development EPD controller we concentrate on compensation of this signal intensity and setting the relative signal magnitude at first of etching. We compensate signal intensity using neural network learning method and set the relative signal magnitude using fuzzy inference method. Potential of this method which improves EPD system capability is proved by experiences.

  • PDF

Signal Analysis of Motor Current for End Point Detection in the Chemical Mechanical Polishing of Shallow Trench Isolation with Reverse Moat Structure

  • Park, Chang-Jun;Kim, Sang-Yong;Seo, Yong-Jin
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • v.2C no.5
    • /
    • pp.262-267
    • /
    • 2002
  • In this paper, we first studied the factors affecting the motor current (MC) signal, which was strongly affected by the systematic hardware noises depending on polishing such as pad conditioning and arm oscillation of platen and recipe, head motor. Next, we studied the end point detection (EPD) for the chemical mechanical polishing (CMP) process of shallow trench isolation (STI) with reverse moat structure. The MC signal showed a high amplitude peak in the fore part caused by the reverse meal. pattern. We also found that the EP could not be detected properly and reproducibly due to the pad conditioning effect, especially when conventional low selectivity slurry was used. Even when there was no pad conditioning effect, the EPD method could not be applied, since the measured end points were always the same due to the characteristics of the reverse moat structure with an open nitride layer.

Neural Network-based Real-time End Point Detection Specialized for Accelerometer Signal (신경망을 이용한 실시간 가속도 신호 끝점 검출 방법)

  • Lim, Jong-Gwan;Kwon, Dong-Soo
    • 한국HCI학회:학술대회논문집
    • /
    • 2009.02a
    • /
    • pp.178-185
    • /
    • 2009
  • A signal processing algorithm is proposed for end point detection which is used commonly in accelerometers-based pattern recognition problem. In the conventional method, end points are detected by manual manipulation with an additive button or algorithm based on statistical computation and highpass filtering to cause critical time delay and difficulty for parameters optimization. As an solution, we propose a focused Time Lagged Feedforward Network(TLFN) with respect to a differential signal of acceleration, which is widely applied for time series forecasting. The simple experiment is conducted with handwriting and the detection performance and response characteristic of the proposed algorithm is tested and analyzed.

  • PDF

Malfunction detection in plasma etching process using EPD signal trace (EPD 신호검출에 의한 플라즈마식각공정의 이상검출)

  • 이종민;차상엽;최순혁;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 1996.10b
    • /
    • pp.1360-1363
    • /
    • 1996
  • EPD(End Point Detection) is used to decide etching degree of layer which must be removed at wafer etching process in plasma etching process which is one of the most important process in semiconductor manufacturing. In this thesis, the method which detects malfunction of etching process in real-time will be discussed. Several EPD signal traces are collected in normal plasma etching condition and used as reference EPD signal traces. Critical points can be detected by applying differentiation and zero-crossing techniques to reference EPD signal. Mean and standard deviation of critical parameters which is memorized from reference EPD signal are calculated and these determine the lower and higher limit of control chart. And by applying statical control chart to EPD signals which are collected in real etching process malfunctions of process are detected in real-time. By means of applying this method to the real etching process we prove our method can accurately detect the malfunction of etching process and can compensate disadvantage of current industrial method.

  • PDF

On Improving Convergence Speed and NET Detection Performance for Adaptive Echo Canceller (향상된 수렴 속도와 근단 화자 신호 검출능력을 갖는 적응 반향 제거기)

  • 김남선
    • Proceedings of the Acoustical Society of Korea Conference
    • /
    • 1992.06a
    • /
    • pp.23-28
    • /
    • 1992
  • The purpose of this paper is to develop a new adaptive echo canceller improving convergence speed and near-end-talker detection performance of the conventional echo canceller. In a conventional adaptive echo canceller, an adaptive digital filter with TDL(Tapped-Delay Line) structure modelling the echo path uses the LMS(Least Mean Square) algorithm to cote the coefficients, and NET detector using energy comparison method prevents the adaptive digital filter to update the coefficients during the periods of the NET signal presence. The convergence speed of the LMS algorithm depends on the eigenvalue spread ratio of the reference signal and NET detector using the energy comparison method yields poor detection performance if the magnitude of the NET signal is small. This paper presents a new adaptive echo canceller which uses the pre-whitening filter to improve the convergence speed of the LMS algorithm. The pre-whitening filter is realized by using a low-order lattice predictor. Also, a new NET signal detection algorithm is presented, where the start point of the NET signal is detected by computing the cross-correlation coefficient between the primary input and the ADF(Adaptive Digital Filter) output while the end point is detected by using the energy comparison method. The simulation results show that the convergence speed of the proposed adaptive echo canceller is faster than that of the conventional echo canceller and the cross-correlation coefficient yield more accurate detection of the start point of the NET signal.

  • PDF

A study of EPD for Shallow Trench Isolation CMP by HSS Application (HSS을 적용한 STI CMP 공정에서 EPD 특성)

  • Kim, Sang-Yong;Kim, Yong-Sik
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.04b
    • /
    • pp.35-38
    • /
    • 2000
  • In this study, the rise throughput and the stability in fabrication of device can be obtained by applying of CMP process to STI structure in 0.l8um semiconductor device. Through reverse moat pattern process, reduced moat density at high moat density, STI CMP process with low selectivity could be to fit polish uniformity between low moat density and high moat density. Because this reason, in-situ motor current end point detection method is not fit to the current EPD technology with the reverse moat pattern. But we use HSS without reverse moat pattern on STI CMP and take end point current sensing signal.[1] To analyze sensing signal and test extracted signal, we can to adjust wafer difference within $110{\AA}$.

  • PDF

P Wave Detection based on QRST Cancellation Zero-One Substitution

  • Cho, Ik-Sung
    • Journal of information and communication convergence engineering
    • /
    • v.19 no.2
    • /
    • pp.93-101
    • /
    • 2021
  • Cardiac arrhythmias are common heart diseases and generally cause sudden cardiac death. Electrocardiogram (ECG) is an effective tool that can reveal the electrical activity of the heart and diagnose cardiac arrhythmias. We propose detection of P waves based on QRST cancellation zero-one substitution. After preprocessing, the QRST segment is determined by detecting the Q wave start point and T wave end point separately. The Q wave start point is detected by digital analyses of the QRS complex width, and the T wave end point is detected by computation of an indicator related to the area covered by the T wave curve. Then, we determine whether the sampled value of the signal is in the interval of the QRST segment and substitute zero or one for the value to cancel the QRST segment. Finally, the maximum amplitude is selected as the peak of the P wave in each RR interval of the residual signal. The average detection rate for the QT database was 97.67%.

A Study on Wafer to Wafer Malfunction Detection using End Point Detection(EPD) Signal (EPD 신호궤적을 이용한 개별 웨이퍼간 이상검출에 관한 연구)

  • 이석주;차상엽;최순혁;고택범;우광방
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.4 no.4
    • /
    • pp.506-516
    • /
    • 1998
  • In this paper, an algorithm is proposed to detect the malfunction of plasma-etching characteristics using EPD signal trajectories. EPD signal trajectories offer many information on plasma-etching process state, so they must be considered as the most important data sets to predict the wafer states in plasma-etching process. A recent work has shown that EPD signal trajectories were successfully incorporated into process modeling through critical parameter extraction, but this method consumes much effort and time. So Principal component analysis(PCA) can be applied. PCA is the linear transformation algorithm which converts correlated high-dimensional data sets to uncorrelated low-dimensional data sets. Based on this reason neural network model can improve its performance and convergence speed when it uses the features which are extracted from raw EPD signals by PCA. Wafer-state variables, Critical Dimension(CD) and uniformity can be estimated by simulation using neural network model into which EPD signals are incorporated. After CD and uniformity values are predicted, proposed algorithm determines whether malfunction values are produced or not. If malfunction values arise, the etching process is stopped immediately. As a result, through simulation, we can keep the abnormal state of etching process from propagating into the next run. All the procedures of this algorithm can be performed on-line, i.e. wafer to wafer.

  • PDF

A New Adaptive Echo Canceller with an Improved Convergence Speed and NET Detection Performance (향상된 수렴속도와 근달화자신호 검출능력을 갖는 적응반향제기기)

  • 김남선;박상택;차용훈;윤일화;윤대희
    • Journal of the Korean Institute of Telematics and Electronics B
    • /
    • v.30B no.12
    • /
    • pp.12-20
    • /
    • 1993
  • In a conventional adaptive echo canceller, an ADF(Adaptive Digital Filter) with TDL(Tapped-Delay Line) structure modelling the echo path uses the LMS(Least Mean Square) algorithm to compute the coefficients, and NET detector using energy comparison method prevents the ADF to update the coefficients during the periods of the NET signal presence. The convergence speed of the LMS algorithm depends on the eigenvalue spread ratio of the reference signal and NET detector using the energy comparison method yields poor detection performance if the magnitude of the NET signal is small. This paper presents a new adaptive echo canceller which uses the pre-whitening filter to improve the convergence speed of the LMS algorithm. The pre-whitening filter is realized by using a low-order lattice predictor. Also, a new NET signal detection algorithm is presented, where the start point of the NET signal is detected by computing the cross-correlation coefficient between the primary input and the ADF output while the end point is detected by using the energy comparison method. The simulation results show that the convergence speed of the proposed adaptive echo canceller is faster than that of the conventional echo canceller and the cross-correlation coefficient yields more accurate detection of the start point of the NET signal.

  • PDF

Design of a CT Saturation Detection Technique with the Countermeasure for a Spike Signal

  • Kang, Yong-Cheol;Yun, Jae-Sung
    • KIEE International Transactions on Power Engineering
    • /
    • v.3A no.2
    • /
    • pp.85-92
    • /
    • 2003
  • When a current transformer (CT) is saturated, the wave-shape of the secondary current is distorted and contains points of inflection, which correspond to the start or end of each saturation period. Discontinuity in the first-difference function of the current arises at points of inflection, where the second and third differences convert into pulses that can be used to detect saturation. This paper describes the design and evaluation of a CT saturation detection technique using the third-difference function and includes the countermeasure for a spike signal. Test results clearly demonstrate that the algorithm successfully detects the start and end of each saturation period irrespective of the remanent flux and magnetization inductance in the saturated region. This paper concludes by describing the results of hardware implementation of the algorithm using a DSP.