• 제목/요약/키워드: damascene

검색결과 60건 처리시간 0.03초

Cu-to-Cu 웨이퍼 적층을 위한 Cu CMP 특성 분석 (Development of Cu CMP process for Cu-to-Cu wafer stacking)

  • 송인협;이민재;김성동;김사라은경
    • 마이크로전자및패키징학회지
    • /
    • 제20권4호
    • /
    • pp.81-85
    • /
    • 2013
  • 웨이퍼 적층 기술은 반도체 전 후 공정을 이용한 효과적인 방법으로 향후 3D 적층 시스템의 주도적인 발전방향이라고 할 수 있다. 웨이퍼 레벨 3D 적층 시스템을 제조하기 위해서는 TSV (Through Si Via), 웨이퍼 본딩, 그리고 웨이퍼 thinning의 단위공정 개발 및 웨이퍼 warpage, 열적 기계적 신뢰성, 전력전달, 등 시스템적인 요소에 대한 연구개발이 동시에 진행되어야 한다. 본 연구에서는 웨이퍼 본딩에 가장 중요한 역할을 하는 Cu CMP (chemical mechanical polishing) 공정에 대한 특성 분석을 진행하였다. 8인치 Si 웨이퍼에 다마신 공정으로 Cu 범프 웨이퍼를 제작하였고, Cu CMP 공정과 oxide CMP 공정을 이용하여 본딩 층 평탄화에 미치는 영향을 살펴보았다. CMP 공정 후 Cu dishing은 약 $180{\AA}$이었고, 웨이퍼 표면부터 Cu 범프 표면까지의 최종 높이는 약 $2000{\AA}$이었다.

구리 ECMP에서 전류밀도가 재료제거에 미치는 영향 (Effect of Current Density on Material Removal in Cu ECMP)

  • 박은정;이현섭;정호빈;정해도
    • Tribology and Lubricants
    • /
    • 제31권3호
    • /
    • pp.79-85
    • /
    • 2015
  • RC delay is a critical issue for achieving high performance of ULSI devices. In order to minimize the RC delay time, we uses the CMP process to introduce high-conductivity Cu and low-k materials on the damascene. The low-k materials are generally soft and fragile, resulting in structure collapse during the conventional high-pressure CMP process. One troubleshooting method is electrochemical mechanical polishing (ECMP) which has the advantages of high removal rate, and low polishing pressure, resulting in a well-polished surface because of high removal rate, low polishing pressure, and well-polished surface, due to the electrochemical acceleration of the copper dissolution. This study analyzes an electrochemical state (active, passive, transpassive state) on a potentiodynamic curve using a three-electrode cell consisting of a working electrode (WE), counter electrode (CE), and reference electrode (RE) in a potentiostat to verify an electrochemical removal mechanism. This study also tries to find optimum conditions for ECMP through experimentation. Furthermore, during the low-pressure ECMP process, we investigate the effect of current density on surface roughness and removal rate through anodic oxidation, dissolution, and reaction with a chelating agent. In addition, according to the Faraday’s law, as the current density increases, the amount of oxidized and dissolved copper increases. Finally, we confirm that the surface roughness improves with polishing time, and the current decreases in this process.

Low-k Polyimide상의 금속배선 형성을 위한 식각 기술 연구 (A Study on the Etcting Technology for Metal Interconnection on Low-k Polyimide)

  • 문호성;김상훈;안진호
    • 한국재료학회지
    • /
    • 제10권6호
    • /
    • pp.450-455
    • /
    • 2000
  • 실리콘 소자가 더욱 미세화되면서, 발생되는 power consumption, crosstalk와 interconnection delay 등을 감소시키기 위해 $SiO_2$ 대신에 저유전 상수막의 적용이 고려되어진다. 본 논문에서는, 저유전 상수 층간 절연막 재료로 유망한 폴리이미드의 식각 특성에 $O_2/SF_6$ 가스가 미치는 영향을 연구하였다. 폴리이미드의 식각률을 SF(sub)6 가스의 첨가에 따라 산소와 hydrocarbon 폴리머 간의 반응을 억제하는 비휘발성 물질은 fluorine 화합물의 형성에 의해 감소되었다. 반면에, 기판 전극의 전압 증가는 물리적인 충격을 통해 식각 공정을 증가시켰다. 또한 작은 량의 SF(sub)6 가스 첨가는 식각 topography에 바람직하였다. 폴리이미드 식각을 위한 $SiO_2$ hard mask 사용은 산소 플라즈마 식각 하에서 효과적이었다(선택비-30). 반면에 $O_2SF_6$ 가스 조성은 식각 선택비를 4로 저하시키게 되었다. 이러한 결과를 기초로, $1-2\mu\textrm{m}$ 선폭을 가진 PI 2610의 식각을 원활히 수행할 수 있었다.

  • PDF

A bilayer diffusion barrier of atomic layer deposited (ALD)-Ru/ALD-TaCN for direct plating of Cu

  • Kim, Soo-Hyun;Yim, Sung-Soo;Lee, Do-Joong;Kim, Ki-Su;Kim, Hyun-Mi;Kim, Ki-Bum;Sohn, Hyun-Chul
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.239-240
    • /
    • 2008
  • As semiconductor devices are scaled down for better performance and more functionality, the Cu-based interconnects suffer from the increase of the resistivity of the Cu wires. The resistivity increase, which is attributed to the electron scattering from grain boundaries and interfaces, needs to be addressed in order to further scale down semiconductor devices [1]. The increase in the resistivity of the interconnect can be alleviated by increasing the grain size of electroplating (EP)-Cu or by modifying the Cu surface [1]. Another possible solution is to maximize the portion of the EP-Cu volume in the vias or damascene structures with the conformal diffusion barrier and seed layer by optimizing their deposition processes during Cu interconnect fabrication, which are currently ionized physical vapor deposition (IPVD)-based Ta/TaN bilayer and IPVD-Cu, respectively. The use of in-situ etching, during IPVD of the barrier or the seed layer, has been effective in enlarging the trench volume where the Cu is filled, resulting in improved reliability and performance of the Cu-based interconnect. However, the application of IPVD technology is expected to be limited eventually because of poor sidewall step coverage and the narrow top part of the damascene structures. Recently, Ru has been suggested as a diffusion barrier that is compatible with the direct plating of Cu [2-3]. A single-layer diffusion barrier for the direct plating of Cu is desirable to optimize the resistance of the Cu interconnects because it eliminates the Cu-seed layer. However, previous studies have shown that the Ru by itself is not a suitable diffusion barrier for Cu metallization [4-6]. Thus, the diffusion barrier performance of the Ru film should be improved in order for it to be successfully incorporated as a seed layer/barrier layer for the direct plating of Cu. The improvement of its barrier performance, by modifying the Ru microstructure from columnar to amorphous (by incorporating the N into Ru during PVD), has been previously reported [7]. Another approach for improving the barrier performance of the Ru film is to use Ru as a just seed layer and combine it with superior materials to function as a diffusion barrier against the Cu. A RulTaN bilayer prepared by PVD has recently been suggested as a seed layer/diffusion barrier for Cu. This bilayer was stable between the Cu and Si after annealing at $700^{\circ}C$ for I min [8]. Although these reports dealt with the possible applications of Ru for Cu metallization, cases where the Ru film was prepared by atomic layer deposition (ALD) have not been identified. These are important because of ALD's excellent conformality. In this study, a bilayer diffusion barrier of Ru/TaCN prepared by ALD was investigated. As the addition of the third element into the transition metal nitride disrupts the crystal lattice and leads to the formation of a stable ternary amorphous material, as indicated by Nicolet [9], ALD-TaCN is expected to improve the diffusion barrier performance of the ALD-Ru against Cu. Ru was deposited by a sequential supply of bis(ethylcyclopentadienyl)ruthenium [Ru$(EtCp)_2$] and $NH_3$plasma and TaCN by a sequential supply of $(NEt_2)_3Ta=Nbu^t$ (tert-butylimido-trisdiethylamido-tantalum, TBTDET) and $H_2$ plasma. Sheet resistance measurements, X-ray diffractometry (XRD), and Auger electron spectroscopy (AES) analysis showed that the bilayer diffusion barriers of ALD-Ru (12 nm)/ALD-TaCN (2 nm) and ALD-Ru (4nm)/ALD-TaCN (2 nm) prevented the Cu diffusion up to annealing temperatures of 600 and $550^{\circ}C$ for 30 min, respectively. This is found to be due to the excellent diffusion barrier performance of the ALD-TaCN film against the Cu, due to it having an amorphous structure. A 5-nm-thick ALD-TaCN film was even stable up to annealing at $650^{\circ}C$ between Cu and Si. Transmission electron microscopy (TEM) investigation combined with energy dispersive spectroscopy (EDS) analysis revealed that the ALD-Ru/ALD-TaCN diffusion barrier failed by the Cu diffusion through the bilayer into the Si substrate. This is due to the ALD-TaCN interlayer preventing the interfacial reaction between the Ru and Si.

  • PDF

한국과 미국의 재배환경 요인과 담배생육비교 (Studies on the Tobacco Growth Characteristics under Environmental Conditions between KOREA and U.S.A.)

  • 구한서;박현석;유정은;장기운;이용득
    • 한국작물학회지
    • /
    • 제31권4호
    • /
    • pp.454-464
    • /
    • 1986
  • 지역이 다른 기상환경 특히 재배환경 조건이 황색종 담배의 생육, 생산성 및 건엽의 품질에 미치는 영향을 구명하고 국내외 교차경작과 화학적 성분비교에 의한 한ㆍ미산 황색종 잎담배의 품질에 미치는 주요 요인을 조사하여 국내산 담배의 품질을 개선하고자 황색종 NC2326을 공시하여 재식밀도, 시비양, 재배형을 달리하여 시험한 결과를 요약하면 다음과 같다. 1. 토양성분중 유기물 함양은 거의 비슷하였고 유효인산함양은 Oxford가 상당히 높았으나 감기치환용량은 낮았다. 2. 삼요소중 103당 질소, 인산, 가리의 삼성분양은 수원이 Oxford보다 더 시용하고 있다. 3. 위도상으로 Oxford가 약간 낮으며 이식시기도 수원보다 늦다. 4. 월별 온도분포는 Oxford가 약간 높으며 생육과정중 강우양은 년중 Oxford가 1,070mm, 수원이 1,327mm로서 Oxford는 고르게 분포되어 있으나 수원은 성숙기에 집중 분포되어 있다. 5. 이식묘의 크기와 건물중은 Oxford가 높았다. 6. 초장, 최대엽의 엽장, 엽폭, 엽후, 중골비율은 수원보다 Oxford가 컸으며 무피복구가 피복구에 비해 컸다. 7. Nicotine함양은 수원이 높았으나 당함양은 낮았다. Nicotine과 당함양은 피복구에서 높았다. 8. 생육과정중 유기산함양은 수원이 높았으나 건엽에서 낮았으며, 무피복구에서 높았다. 지방산함양은 수원이 낮았으나 건엽에서 높았으며 무피복구가 높은 경향이었다. 9. 잎담배 정유성분중 43개 성분을 분리동정하였다. 수원이 낮은 경향이었으며 특히 피복구보다 무피복구가 상대적으로 높았다. 10. 향기성분신 Damascene, Damascennoe, Phrnethyl alcohol 및 Neophytadine 등은 한국이 높은 경향이나 Solanone과 Oxysolanone 등은 미국이 높은 경향이었다.

  • PDF

산화구리 잔유물 제거를 위한 카르복시산 함유 반수계 용액의 세정특성 (Characteristics of Semi-Aqueous Cleaning Solution with Carboxylic Acid for the Removal of Copper Oxides Residues)

  • 고천광;이원규
    • Korean Chemical Engineering Research
    • /
    • 제54권4호
    • /
    • pp.548-554
    • /
    • 2016
  • Damascene 구조를 갖는 반도체소자의 구리금속배선 식각공정에서 배선재료에 의해서 발생되는 구리 식각잔류물을 제거하기 위해 oxalic acid (OA), lactic acid (LA) 및 citric acid (CA)의 카르복시산 함유된 반수계 혼합세정액을 제조하고 특성을 분석하였다. 카르복시산은 pH에 따라 카르복실기와 구리이온들과의 다양한 복합체를 형성하며 세정특성의 변화를 준다. 카르복시산들이 함유된 각각의 세정액의 세정특성평가결과 10 wt% CA를 함유한 반수계 세정액의 식각잔류물 세정특성은 pH 2에서 7까지의 영역에서 가장 낮은 구리 식각률을 보였으며 pH 2에서 4까지 구리에 대한 구리산화물의 가장 높은 식각 선택도를 나타내었으나 pH 5에서 7 범위에서는 10 wt% LA가 함유된 세정액이 더 높은 선택도를 보였다. 따라서 표면세정효과는 pH에 따라 변화하며 적절한 카르복시산을 사용함이 요구된다. CA가 함유된 세정액의 경우에 CA 농도와 구리에 대한 구리산화물의 식각 선택도의 증가특성을 보이며 CA가 5 wt%이상 함유된 경우에는 세정 후 구리배선의 표면이 88 %이상의 금속구리로 분석되어 구리산화물로 구성된 식각 잔류물의 제거에 효과적임을 알 수 있었다.

Characteristics of MOCVD Cobalt on ALD Tantalum Nitride Layer Using $H_2/NH_3$ Gas as a Reactant

  • 박재형;한동석;문대용;윤돈규;박종완
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.377-377
    • /
    • 2012
  • Microprocessor technology now relies on copper for most of its electrical interconnections. Because of the high diffusivity of copper, Atomic layer deposition (ALD) $TaN_x$ is used as a diffusion barrier to prevent copper diffusion into the Si or $SiO_2$. Another problem with copper is that it has weak adhesion to most materials. Strong adhesion to copper is an essential characteristic for the new barrier layer because copper films prepared by electroplating peel off easily in the damascene process. Thus adhesion-enhancing layer of cobalt is placed between the $TaN_x$ and the copper. Because, cobalt has strong adhesion to the copper layer and possible seedless electro-plating of copper. Until now, metal film has generally been deposited by physical vapor deposition. However, one draw-back of this method is poor step coverage in applications of ultralarge-scale integration metallization technology. Metal organic chemical vapor deposition (MOCVD) is a good approach to address this problem. In addition, the MOCVD method has several advantages, such as conformal coverage, uniform deposition over large substrate areas and less substrate damage. For this reasons, cobalt films have been studied using MOCVD and various metal-organic precursors. In this study, we used $C_{12}H_{10}O_6(Co)_2$ (dicobalt hexacarbonyl tert-butylacetylene, CCTBA) as a cobalt precursor because of its high vapor pressure and volatility, a liquid state and its excellent thermal stability under normal conditions. Furthermore, the cobalt film was also deposited at various $H_2/NH_3$ gas ratio(1, 1:1,2,6,8) producing pure cobalt thin films with excellent conformality. Compared to MOCVD cobalt using $H_2$ gas as a reactant, the cobalt thin film deposited by MOCVD using $H_2$ with $NH_3$ showed a low roughness, a low resistivity, and a low carbon impurity. It was found that Co/$TaN_x$ film can achieve a low resistivity of $90{\mu}{\Omega}-cm$, a low root-mean-square roughness of 0.97 nm at a growth temperature of $150^{\circ}C$ and a low carbon impurity of 4~6% carbon concentration.

  • PDF

Effect of Amine Functional Group on Removal Rate Selectivity between Copper and Tantalum-nitride Film in Chemical Mechanical Polishing

  • Cui, Hao;Hwang, Hee-Sub;Park, Jin-Hyung;Paik, Ungyu;Park, Jea-Gun
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.546-546
    • /
    • 2008
  • Copper (Cu) Chemical mechanical polishing (CMP) has been an essential process for Cu wifing of DRAM and NAND flash memory beyond 45nm. Copper has been employed as ideal material for interconnect and metal line due to the low resistivity and high resistant to electro-migration. Damascene process is currently used in conjunction with CMP in the fabrication of multi-level copper interconnects for advanced logic and memory devices. Cu CMP involves removal of material by the combination of chemical and mechanical action. Chemicals in slurry aid in material removal by modifying the surface film while abrasion between the particles, pad, and the modified film facilitates mechanical removal. In our research, we emphasized on the role of chemical effect of slurry on Cu CMP, especially on the effect of amine functional group on removal rate selectivity between Cu and Tantalum-nitride (TaN) film. We investigated the two different kinds of complexing agent both with amine functional group. On the one hand, Polyacrylamide as a polymer affected the stability of abrasive, viscosity of slurry and the corrosion current of copper film especially at high concentration. At higher concentration, the aggregation of abrasive particles was suppressed by the steric effect of PAM, thus showed higher fraction of small particle distribution. It also showed a fluctuation behavior of the viscosity of slurry at high shear rate due to transformation of polymer chain. Also, because of forming thick passivation layer on the surface of Cu film, the diffusion of oxidant to the Cu surface was inhibited; therefore, the corrosion current with 0.7wt% PAM was smaller than that without PAM. the polishing rate of Cu film slightly increased up to 0.3wt%, then decreased with increasing of PAM concentration. On the contrary, the polishing rate of TaN film was strongly suppressed and saturated with increasing of PAM concentration at 0.3wt%. We also studied the electrostatic interaction between abrasive particle and Cu/TaN film with different PAM concentration. On the other hand, amino-methyl-propanol (AMP) as a single molecule does not affect the stability, rheological and corrosion behavior of the slurry as the polymer PAM. The polishing behavior of TaN film and selectivity with AMP appeared the similar trend to the slurry with PAM. The polishing behavior of Cu film with AMP, however, was quite different with that of PAM. We assume this difference was originated from different compactness of surface passivation layer on the Cu film under the same concentration due to the different molecular weight of PAM and AMP.

  • PDF

스퍼터링 증확 CdTe 박막의 두께 불균일 현상 개선을 위한 화학적기계적연마 공정 적용 및 광특성 향상 (Application of CMP Process to Improving Thickness-Uniformity of Sputtering-deposited CdTe Thin Film for Improvement of Optical Properties)

  • 박주선;임채현;류승한;명국도;김남훈;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2010년도 하계학술대회 논문집
    • /
    • pp.375-375
    • /
    • 2010
  • CdTe as an absorber material is widely used in thin film solar cells with the heterostructure due to its almost ideal band gap energy of 1.45 eV, high photovoltaic conversion efficiency, low cost and stable performance. The deposition methods and preparation conditions for the fabrication of CdTe are very important for the achievement of high solar cell conversion efficiency. There are some rearranged reports about the deposition methods available for the preparation of CdTe thin films such as close spaced sublimation (CSS), physical vapor deposition (PVD), vacuum evaporation, vapor transport deposition (VTD), closed space vapor transport, electrodeposition, screen printing, spray pyrolysis, metalorganic chemical vapor deposition (MOCVD), and RF sputtering. The RF sputtering method for the preparation of CdTe thin films has important advantages in that the thin films can be prepared at low growth temperatures with large-area deposition suitable for mass-production. The authors reported that the optical and electrical properties of CdTe thin film were closely connected by the thickness-uniformity of the film in the previous study [1], which means that the better optical absorbance and the higher carrier concentration could be obtained in the better condition of thickness-uniformity for CdTe thin film. The thickness-uniformity could be controlled and improved by the some process parameters such as vacuum level and RF power in the sputtering process of CdTe thin films. However, there is a limitation to improve the thickness-uniformity only in the preparation process [1]. So it is necessary to introduce the external or additional method for improving the thickness-uniformity of CdTe thin film because the cell size of thin film solar cell will be enlarged. Therefore, the authors firstly applied the chemical mechanical polishing (CMP) process to improving the thickness-uniformity of CdTe thin films with a G&P POLI-450 CMP polisher [2]. CMP process is the most important process in semiconductor manufacturing processes in order to planarize the surface of the wafer even over 300 mm and to form the copper interconnects with damascene process. Some important CMP characteristics for CdTe were obtained including removal rate (RR), WIWNU%, RMS roughness, and peak-to-valley roughness [2]. With these important results, the CMP process for CdTe thin films was performed to improve the thickness-uniformity of the sputtering-deposited CdTe thin film which had the worst two thickness-uniformities of them. Some optical properties including optical transmittance and absorbance of the CdTe thin films were measured by using a UV-Visible spectrophotometer (Varian Techtron, Cary500scan) in the range of 400 - 800 nm. After CMP process, the thickness-uniformities became better than that of the best condition in the previous sputtering process of CdTe thin films. Consequently, the optical properties were directly affected by the thickness-uniformity of CdTe thin film. The absorbance of CdTe thin films was improved although the thickness of CdTe thin film was not changed.

  • PDF

Scanning Ion Conductivity Microscopy의 Approach Curve에 대한 측정 및 계산을 통한 Current Squeezing 효과의 고찰 (An Investigation of the Current Squeezing Effect through Measurement and Calculation of the Approach Curve in Scanning Ion Conductivity Microscopy)

  • 김영서;조영준;신한균;박현;김정한;이효종
    • 마이크로전자및패키징학회지
    • /
    • 제31권2호
    • /
    • pp.54-62
    • /
    • 2024
  • SICM (scanning ion conductivity microscopy)은 nanopipette이 시료에 접근하게 되면서 tip에 인가되는 전류값의 변화가 발생하는데, 이를 이용하여 시료의 표면 형상을 측정하는 분석기술이다. 본 연구는 SICM mapping의 기본이 되는 tip과 시료 간의 거리에 의한 전류 반응곡선인 approach curve에 대해 연구한 결과를 담고 있다. Approach curve에 대해 우선 시뮬레이션 해석을 진행하였으며, 이를 기반으로 실험을 병행하여 이 둘 사이의 반응 곡선 차이를 분석하였다. 시뮬레이션 해석을 통해 tip과 시료와의 거리가 tip 내경의 절반 이하로 가까워지면서 current squeezing 효과를 확인할 수 있었다. 하지만, 시뮬레이션에 반영된 단순 이온 통로 감소에 의한 전류밀도 감소는 실제 실험을 통해 측정된 current squeezing 효과에 비해 훨씬 작은 것으로 측정되었다. 이는 나노 스케일의 매우 좁은 통로에서 이온전도도는 확산계수에 의한 단순 Nernst-Einstein 관계를 따르는 것이 아니라, tip과 시료가 만들어 내는 벽면에서의 유체역학적 유동 저항성을 고려하는 것이 추가로 필요할 것으로 보인다. 향후 이러한 SICM 측정은 전기화학 표면 반응성을 분석하는 SECM (scanning electrochemical microscopy) 측정기술과 통합되어 SECM 측정 한계를 보완될 수 있을 것으로 기대된다. 그렇게 되면, 반도체 배선 공정 및 패키징 공정에 사용되고 있는 다양한 패턴 형상에서 무전해 도금의 촉매 반응과 전기도금에서 유기첨가제 작용의 국부적 차이를 직접적으로 측정하는 것이 가능하게 될 것으로 기대된다.