• Title/Summary/Keyword: TiO-N박막

Search Result 263, Processing Time 0.03 seconds

Electrical Properties of Molecular Diode Using Eicosanoic Acid Langmuir-Blodgett(LB) Monolayer Film (Eicosanoic Acid Langmuir-Blodgett(LB) 박막을 이용한 분자 다이오드의 전기적 특성)

  • Koo, Ja-Ryong;Lee, Ho-Sik;Kwon, Hyuck-Joo;Sohn, Byoung-Chung
    • Journal of the Korean Applied Science and Technology
    • /
    • v.20 no.2
    • /
    • pp.148-153
    • /
    • 2003
  • Electron transfer through an Langmuir-Blodgett(LB) monolayer film sandwiched between metal electrodes. We used an eicosanoic acid material and the material was very famous as a thin film insulating material. Eicosanoic acid monolayer was deposited by Langmuir-Blodgett(LB) technique and a subphase was a $CdCl_2$ solution as a 2${\times}10^{-4}$ mol/L. Also we used a bottom electrode as an Al/$Al_2O_3$ and a top electrode as a Al and Ti/Al. Here, the $Al_2O_3$ on the bottom electrode was deposited by thermal evaporation method. The $Al_2O_3$ layer was acted on a tunneling barrier and insulating layer in tunnel diode. It was found that the proper transfer surface pressure for film deposition was 25 mN/m and the limiting area per molecule was about 24 ${\AA}^2$/molecule. When the positive and negative bias applied to the molecular device, the behavior shows that a tunnel switching characteristics. This result were analyzed regarding various mechanisms.

Effects of Sr/Ca Ratio of SCT thin film by RF Sputtering Method (RF 스퍼터링법에 의한 SCT 박막의 Sr/Ca 비율 영향)

  • Kim, Jin-Sa;Oh, Yong-Cheul
    • Journal of the Semiconductor & Display Technology
    • /
    • v.5 no.4 s.17
    • /
    • pp.5-9
    • /
    • 2006
  • The SCT thin films are deposited on Pt-coated electrode($Pt/TiN/SiO_2/Si$) using RF sputtering method with Sr/Ca ratio. The maximum grain of thin films is obtained by ratio of Ca at 15 mol%. The dielectric constant was increased with increasing the ratio of Ca, while it was decreased if the ratio of Ca exceeded over 15 mol%. The dielectric constant changes almost linearly in temperature ranges of $-80{\sim}+90$. All SCT thin films used in this study show the phenomena of dielectric relaxation with the increase of frequency, and the relaxation frequency is observed above 200 kHz. The current-voltage characteristics of SCT thin films showed the increasing leakage current as the measuring temperature increases.

  • PDF

Electrical Properties of SCT Ceramic Thin Film (SCT 세라믹 박막의 전기적 특성)

  • 김원종;조춘남;김진사;소병문;송민종;박건호;김충혁;이준웅
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.07a
    • /
    • pp.440-443
    • /
    • 2000
  • The (Sr$_{1-x}$ Ca$_{x}$) thin films ale deposited OR Pt-Coated electrode(Pt/TiN/SiO$_2$/Si) using RF sputtering method with substitutional contents of Ca. The maximum grain of thin films is obtained at SCT15 thin film. The dielectric constant was increased with increasing the substitutional contents of Ca, while it was decreased if the substitutional contents of Ca exceeded over 15[mol%]. The temperature properties of the dielectric loss have a stable value within 2% independent of the substitutional contents of Ca.Ca.

  • PDF

Pyroelectric Peyformance Evaluation of Pure PZT and Alternately Deposited PZT/PT Thin Films (PZT 순수박막과 PZT/PT 교차박막의 적외선 감지 특성 비교)

  • Ko, Jong-Soo;Kwak, Byung-Man
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.26 no.6
    • /
    • pp.1001-1007
    • /
    • 2002
  • To improve the performance of the PZT thin flms, each PZT and PT layer was alternately deposited on a Pt/Ti/Si$_3$N$_4$/SiO$_2$/Si substrate by a modified sol-gel solid precursor technique. For comparison, PZT thin films were also prepared with an identical method under the same conditions. XRD measurement revealed that the diffraction pattern of the multilayer film was due to the superimposition of the PZT and PT patterns. At 1㎑, a dielectric constant of 389 and 558, a dielectric loss of 1.2% and 1.1% were obtained for the PZT/PT and PZT thin films, respectively. If we consider the PT dielectric constant to be 260, it is clear that the dielectric constant of alternately deposited PZT/PT thin films was well adjusted. The PZT/PT thin film showed a low dielectric constant and a similar dielectric loss compared with those of the PZT film. The figures of merit on detectivity for the PZT/PT and PZT thin films were 20.3$\times$10$\^$-6/㎩$\^$-$\sfrac{1}{2}$/, and 18.7$\times$10$\^$-6/㎩$\^$-$\sfrac{1}{2}$/, and the figures of merit on voltage response were 0.038㎡/C and 0.028 ㎡/C, respectively. The high figures of merit for the PZT/PT film were ascribed to its relatively low dielectric constant when compared to the PZT thin films.

Surface reaction of $HfO_2$ etched in inductively coupled $BCl_3$ plasma ($BCl_3$ 유도결합 플라즈마를 이용하여 식각된 $HfO_2$ 박막의 표면 반응 연구)

  • Kim, Dong-Pyo;Um, Doo-Seunng;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.477-477
    • /
    • 2008
  • For more than three decades, the gate dielectrics in CMOS devices are $SiO_2$ because of its blocking properties of current in insulated gate FET channels. As the dimensions of feature size have been scaled down (width and the thickness is reduced down to 50 urn and 2 urn or less), gate leakage current is increased and reliability of $SiO_2$ is reduced. Many metal oxides such as $TiO_2$, $Ta_2O_4$, $SrTiO_3$, $Al_2O_3$, $HfO_2$ and $ZrO_2$ have been challenged for memory devices. These materials posses relatively high dielectric constant, but $HfO_2$ and $Al_2O_3$ did not provide sufficient advantages over $SiO_2$ or $Si_3N_4$ because of reaction with Si substrate. Recently, $HfO_2$ have been attracted attention because Hf forms the most stable oxide with the highest heat of formation. In addition, Hf can reduce the native oxide layer by creating $HfO_2$. However, new gate oxide candidates must satisfy a standard CMOS process. In order to fabricate high density memories with small feature size, the plasma etch process should be developed by well understanding and optimizing plasma behaviors. Therefore, it is necessary that the etch behavior of $HfO_2$ and plasma parameters are systematically investigated as functions of process parameters including gas mixing ratio, rf power, pressure and temperature to determine the mechanism of plasma induced damage. However, there is few studies on the the etch mechanism and the surface reactions in $BCl_3$ based plasma to etch $HfO_2$ thin films. In this work, the samples of $HfO_2$ were prepared on Si wafer with using atomic layer deposition. In our previous work, the maximum etch rate of $BCl_3$/Ar were obtained 20% $BCl_3$/ 80% Ar. Over 20% $BCl_3$ addition, the etch rate of $HfO_2$ decreased. The etching rate of $HfO_2$ and selectivity of $HfO_2$ to Si were investigated with using in inductively coupled plasma etching system (ICP) and $BCl_3/Cl_2$/Ar plasma. The change of volume densities of radical and atoms were monitored with using optical emission spectroscopy analysis (OES). The variations of components of etched surfaces for $HfO_2$ was investigated with using x-ray photo electron spectroscopy (XPS). In order to investigate the accumulation of etch by products during etch process, the exposed surface of $HfO_2$ in $BCl_3/Cl_2$/Ar plasma was compared with surface of as-doped $HfO_2$ and all the surfaces of samples were examined with field emission scanning electron microscopy and atomic force microscope (AFM).

  • PDF

Electrochemical properties of ECD using Titanate nanotube (Titanate nanotube를 이용한 ECD의 전기화학적 특성연구)

  • Oh, Hyo-Jin;Lee, Nam-Hee;Lee, Dae-Girl;Yun, Yeong-Ung;Hwang, Jong-Sun;Kim, Sun-Jae
    • Proceedings of the KIEE Conference
    • /
    • 2009.07a
    • /
    • pp.2119_2120
    • /
    • 2009
  • 전압을 인가하였을 때 전계방향에 의해 가역적으로 색이 변화하는 현상을 전기변색(electrochromism)이라고 한다. 이러한 전기채색현상을 보이는 물질을 전기채색물질(electrochromism materials)이라고 하며, 전기채색 물질에 의한 소자를 전기채색소자(electrochromism device : ECD)라고 한다. 전기채색현상은 투과율(transmittance), 반사율(reflectance)의 가역적이며 가시적인 변화이고, 전기화학적인 산화환원 반응과 관련이 있다. 따라서 본 연구에서는 Titanate nanotube(TNT)를 제조하고 전기변색소자(ECD)에 응용하였다. SEM, XRD, UV-Vis등을 이용하여 재료학적 분석을 시행하였으며, 전기화학적 테스트로 cyclic voltammetry를 측정 하였다. 그 결과 TNT 분말은 직경 약 20~30 nm, 길이 약 500~600 nm 의 입자형상을 나타내었으며, X-선 회절시험결과 $H_2Ti_2O_5{\cdot}H_2O$의 층상구조를 나타내었다. 제조된 막은 FTO glass 위에 PEI/(TNT/TBAOH)$_{n-1}$/PDDA의 순으로 코팅되었다. 전기화학적 테스트를 위하여 2전극 시스템을 제작하였으며, 여러 종류의 액체 전해질을 제작하여 cycle voltammetry를 시행하였다. 그 결과, 각각의 전해질에서 "-"영역의 산화환원전위 피크가 뚜렷하게 나타났으며, 짙은 갈색으로의 채색현상을 나타냈다. 본 연구의 결과로서 TNT 박막을 이용한 ECD은 광조절 유리로서 뿐만 아니라, 여러 전기채색 디바이스에 응용될 것으로 사료된다.

  • PDF

A Study on Intermediate Layer for Palladium-Based Alloy Composite Membrane Fabrication (팔라듐 합금 복합막 제조를 위한 Intermediate Layer 연구)

  • Hwang, Yong-Mook;Kim, Kwang-Je;So, Won-Wook;Moon, Sang-Jin;Lee, Kwan-Young
    • Applied Chemistry for Engineering
    • /
    • v.17 no.5
    • /
    • pp.458-464
    • /
    • 2006
  • The Pd-Ni-Ag alloy composite membrane using modified porous stainless steel (PSS) as a substrate was prepared by a electroless plating technique. In this work, we have introduced the intermediate layer between Pd-based alloy and a metal substrate. As an intermediate layer, the mixtures of nickel powder and inorganic sol such as $SiO_{2}$ sol, $Al_{2}O_{3}$ sol, and $TiO_{2}$ sol were used. The intermediate layers were coated onto a PSS substrate according to various membrane preparation conditions and then $N_{2}$ fluxes through the membranes with different intermediate layers were measured. The surface morphology of the intermediate layer in the mixture of nickel powder and inorganic sol was analyzed using scanning electron microscope (SEM). Finally, the Pd-Ni-Ag alloy composite membrane using the support coated with the mixture of nickel powder and silica as an intermediate layer was fabricated and then the gas permeances for $H_{2}$ and $N_{2}$ through the Pd-based membrane were investigated. The selectivity of $H_2/N_2$ was infinite and the $H_{2}$ flux was $1.39{\times}10^{-2}mol/m^2{\cdot}s$ at the temperature of $500^{\circ}C$ and trans-membrane pressure difference of 1 bar.

Piezoelectric and electromechanical properties of PZT films and PZT microcantilever (PZT 박막의 압전 특성 및 MEMS 기술로 제작된 PZT cantilever의 전기기계적 물성 평가)

  • 이정훈;황교선;윤기현;김태송
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.07a
    • /
    • pp.177-180
    • /
    • 2002
  • Thickness dependence of crystallographic orientation of diol based sol-gel derived PZT(52/48) films on dielectric and piezoelectric properties was investigated The thickness of each layer by one time spinning was about 0.2 $\mu\textrm{m}$, and crack-free films was successfully deposited on 4 inches Pt/Ti/SiO$_2$/Si substrates by 0.5 mol solutions in the range from 0.2 $\mu\textrm{m}$ to 3.8 $\mu\textrm{m}$. Excellent P-E hysteresis curves were achieved without pores or any defects between interlayers. As the thickness increased , the (111) preferred orientation disappeared from 1$\mu\textrm{m}$ to 3 $\mu\textrm{m}$ region, and the orientation of films became random above 3 $\mu\textrm{m}$. Dielectric constants and longitudinal piezoelectric coefficient d$\_$33/, measured by pneumatic method were saturated around the value of about 1400 and 300 pC/N respectively above the thickness of 0.8 7m. A micromachined piezoelectric cantilever have been fabricated using 0.8 $\mu\textrm{m}$ thickness PZT (52/48) films. PZT films were prepared on Si/SiN$\_$x/SiO$_2$/Ta/Pt substrate and fabricated unimorph cantilever consist of a 0.8 fm thick PZT layer on a SiNx elastic supporting layer, which becomes vibration when ac voltage is applied to the piezoelectric layer. The dielectric constant (at 100 kHz) and remanent polarization of PZT films were 1050 and 25 ${\mu}$C/$\textrm{cm}^2$, respectively. Electromechanical characteristics of the micromachined PZT cantilever in air with 200-600 $\mu\textrm{m}$ lengths are discussed in this presentation.

  • PDF

Characteristics of BMN Thin Films Deposited on Various Substrates for Embedded Capacitor Applications (임베디드 커패시터의 응용을 위해 다양한 기판 위에 평가된 BMN 박막의 특성)

  • Ahn, Kyeong-Chan;Kim, Hae-Won;Ahn, Jun-Ku;Yoon, Soon-Gil
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.4
    • /
    • pp.342-347
    • /
    • 2007
  • $Bi_6Mg_2Nb_4O_{21}(BMN)$ thin films were deposited at various substrates by sputtering system for embedded capacitor applications. BMN thin films deposited at room temperature are manufactured as MIM(Metal/Insulator/Metal) structures. Dielectric properties and leakage current density were investigated as a function of various substrates and thickness of BMN thin films. Leakage current density of BMN thin films deposited on CCL(Copper Clad Laminates) showed relatively high value ($1{\times}10^{-3}A/cm^2$) at an applied field of 300 kV/cm on substrates, possibly due to relatively high value of roughness(rms $50{\AA}$) of CCL substrates. 100 nm-thick BMN thin films deposited on Cu/Ti/Si substrates showed the capacitance density of $300 nF/cm^2$, a dielectric constant of 32, a dielectric loss of 2 % at 100 kHz and the leakage current density of $1{\times}10^{-6}A/cm^2$ at an applied field of 300 kV/cm. BMN capacitors are expected to be promising candidates as embedded capacitors for printed circuit board(PCB).

휨 구조의 압전 마이크로-켄틸레버를 이용한 진동 에너지 수확 소자

  • Na, Ye-Eun;Park, Hyeon-Su;Park, Jong-Cheol
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.476-476
    • /
    • 2014
  • 서론: 저 전력 소모를 필요로 하는 무선 센서 네트워크 관련 기술의 급격한 발달과 함께 자체 전력 수급을 위한 진동 에너지 수확 기술에 대한 연구가 활발히 이루어지고 있다. 다양한 구조와 소재를 압전 외팔보에 적용하여 제안하고 있다. 그 중에서도 진동 기반의 에너지 수확 소자는 주변 환경에서 쉽게 진동을 얻을 수 있고, 높은 에너지 밀도와 제작 방법이 간단하다는 장점을 가지고 있어 많은 분야에 응용 및 적용 가능하다. 기존 연구에서는 2차원적으로 진동 에너지 수확을 위한 휜 구조의 압전 외팔보를 제안 하였다. 휜 구조를 갖는 압전 외팔보는 각각의 짧은 두 개의 평평한 외팔보가 일렬로 연결된 것으로 볼 수 있다. 하나의 짧고 평평한 외팔보는 진동이 가해지면 접선 방향으로 응력이 생겨 최대 휨 모멘텀을 갖게 된다. 그러므로 휜 구조를 갖는 외팔보는 진동이 인가됨에 따라 길이 방향과 수직 방향으로 진동한다. 하지만, 이 구조는 수평 방향으로 가해지는 진동에 대한 에너지를 수확하기에는 한계점을 가진다. 즉, 3축 방향에서 임의의 방향에서 진동 에너지를 수확하기는 어렵다. 본 연구에서는 3축 방향에서 에너지를 효율적으로 수확할 수 있도록 헤어-셀 구조의 압전 외팔보 에너지 수확소자를 제안한다. 제안된 소자는 길이 방향과 수직 방향뿐만 아니라 수평 방향으로도 진동하여 임의의 방향에서 진동 에너지를 수확할 수 있다. 구성 및 공정: 제안하는 소자는 3축 방향에서 임의의 진동을 수확하기 위해서 길이를 길게 늘이고 길이 방향을 따라 휘어지는 구조의 헤어-셀 구조로 제작하였다. 외팔보의 구조는 외팔보의 폭 대비 길이의 비가 충분히 클 때, 추가적인 자유도를 얻을 수 있다. 그러므로 헤어-셀 구조의 에너지 수확 소자는 기본적인 길이 방향, 수직방향 그리고 수평방향에 더불어 추가적으로 뒤틀리는 방향을 통해서 3차원적으로 임의의 주변 진동 에너지를 수확하여 전기적인 에너지로 생성시킬 수 있다. 제작된 소자는 높은 종횡비를 갖는 무게 추($500{\times}15{\times}22{\mu}m3$)와 길이 방향으로 길게 휜 압전 외팔보($1000{\times}15{\times}1.7{\mu}m3$)로 구성되어있다. 공정 과정은 다음과 같다. 먼저, 실리콘 웨이퍼 위에 탄성층을 형성하기 위해 LPCVD SiNx를 $0.8{\mu}m$와 LTO $0.2{\mu}m$를 증착 후, 각각 $0.03{\mu}m$$0.12{\mu}m$의 두께를 갖는 Ti와 Pt을 하부 전극으로 스퍼터링한다. 그리고 Pb(Zr0.52Ti0.48)O3 박막을 $0.35{\mu}m$ 두께로 졸겔법을 이용하여 증착하고 상부 Pt층을 두께 $0.1{\mu}m$로 순차적으로 스퍼터링하여 형성한다. 상/하부 전극은 ICP(Inductively Coupled Plasma)를 이용해 건식 식각으로 패턴을 형성한다. PZT 층과 무게 추 사이의 보호막을 씌우기 위해 $0.2{\mu}m$의 Si3N4 박막이 PECVD 공정법으로 증착되고, RIE로 패턴을 형성된다. Ti/Au ($0.03/0.35{\mu}m$)이 E-beam으로 증착되고 lift-off를 통해서 패턴을 형성함으로써 전극 본딩을 위한 패드를 만든다. 초반에 형성한 실리콘 웨이퍼 위의 SiNx/LTO 층은 RIE로 외팔보 구조를 형성한다. 이후에 진행될 도금 공정을 위해서 희생층으로는 감광액이 사용되고, 씨드층으로는 Ti/Cu ($0.03/0.15{\mu}m$) 박막이 스퍼터링 된다. 도금 형성층을 위해 감광액을 패턴화하고, Ni0.8Fe0.2 ($22{\mu}m$)층으로 도금함으로써 외팔보 끝에 무게 추를 만든다. 마지막으로, 압전 외팔보 소자는 XeF2 식각법을 통해 제작된다. 제작된 소자는 소자의 여러 층 사이의 고유한 응력 차에 의해 휨 변형이 생긴다. 실험 방법 및 측정 결과: 제작된 소자의 성능을 확인하기 위하여 일정한 가속도 50 m/s2로 3축 방향에 따라 입력 주파수를 변화시키면서 출력 전압을 측정하였다. 먼저, 소자의 기본적인 공진 주파수를 얻기 위하여 수직 방향으로 진동을 인가하여 주파수를 변화시켰다. 그 때에 공진 주파수는 116 Hz를 가지며, 최대 출력 전압은 15 mV로 측정되었다. 3축 방향에서 진동 에너지 수확이 가능하다는 것을 확인하기 위하여 제작된 소자를 길이 방향과 수평 방향으로 가진기에 장착한 후, 기본 공진 주파수에서의 출력 전압을 측정하였다. 진동이 길이방향으로 가해졌을 때에는 33 mV, 수평방향으로 진동이 인가되는 경우에는 10 mV의 최대 출력 전압을 갖는다. 제안하는 소자가 수 mV의 적은 전압은 출력해내더라도 소자는 진동이 인가되는 각도에 영향 받지 않고, 3축 방향에서 진동 에너지를 수확하여 전기에너지로 얻을 수 있다. 결론: 제안된 소자는 3축 방향에서 진동 에너지를 수확할 수 있는 에너지 수확 소자를 제안하였다. 외팔보의 구조를 헤어-셀 구조로 길고 휘어지게 제작함으로써 기본적인 길이 방향, 수직방향 그리고 수평방향에 더불어 추가적으로 뒤틀리는 방향에서 출력 전압을 얻을 수 있다. 미소 전력원으로 실용적인 사용을 위해서 무게추가 더 무거워지고, PZT 박막이 더 두꺼워진다면 소자의 성능이 향상되어 높은 출력 전압을 얻을 수 있을 것이라 기대한다.

  • PDF