• Title/Summary/Keyword: Self-plasma OES

Search Result 8, Processing Time 0.029 seconds

Improved Self Plasma-Optical Emission Spectroscopy for In-situ Plasma Process Monitoring (실시간 플라즈마공정 모니터링을 위한 Self Plasma-Optical Emission Spectroscopy 성능 향상)

  • Jo, Kyung Jae;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.2
    • /
    • pp.75-78
    • /
    • 2017
  • We reports improved monitoring performance of Self plasma-optical emission spectroscopy (SP-OES) by augmenting a by-pass tube to a conventional straight (or single) tube type self plasma reactor. SP-OES has been used as a tool for the monitoring of plasma chemistry indirectly in plasma process system. The benefits of SP-OES are low cost and easy installation, but some semiconductor industries who adopted commercialized SP-OES product experiencing less sensitivity and slow sensor response. OH out-gas chemistry monitoring was performed to have a direct comparison of a conventional single type tube and a by-pass type tube, and fluid dynamic simulation on the improved hardware design was also followed. It is observed faster pumping out of OH from the chamber in the by-pass type SP-OES.

  • PDF

In-situ Endpoint Detection for Dielectric Films Plasma Etching Using Plasma Impedance Monitoring and Self-plasma Optical Emission Spectroscopy with Modified Principal Component Analysis

  • Jang, Hae-Gyu;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.153-153
    • /
    • 2012
  • Endpoint detection with plasma impedance monitoring and self-plasma optical emission spectroscopy is demonstrated for dielectric layers etching processes. For in-situ detecting endpoint, optical-emission spectroscopy (OES) is used for in-situ endpoint detection for plasma etching. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. To overcome these problems, the endpoint was determined by impedance signal variation from I-V monitoring (VI probe) and self-plasma optical emission spectroscopy. In addition, modified principal component analysis was applied to enhance sensitivity for small area etching. As a result, the sensitivity of this method is increased about twice better than that of OES. From plasma impedance monitoring and self-plasma optical emission spectroscopy, properties of plasma and chamber are analyzed, and real-time endpoint detection is achieved.

  • PDF

Modified Principal Component Analysis for In-situ Endpoint Detection of Dielectric Layers Etching Using Plasma Impedance Monitoring and Self Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Choi, Sang-Hyuk;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.182-182
    • /
    • 2012
  • Plasma etching is used in various semiconductor processing steps. In plasma etcher, optical- emission spectroscopy (OES) is widely used for in-situ endpoint detection. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. Because of these problems, the object is to investigate the suitability of using plasma impedance monitoring (PIM) and self plasma optical emission spectrocopy (SPOES) with statistical approach for in-situ endpoint detection. The endpoint was determined by impedance signal variation from I-V monitor (VI probe) and optical emission signal from SPOES. However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ and SiNx layers are etched by fluorocarbon on inductive coupled plasma (ICP) etcher, if the proportion of $SiO_2$ and SiNx area on Si wafer are small. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance monitoring is compared with optical emission spectroscopy.

  • PDF

Diagnosis of $BCl_3$ and $BCl_3$/Ar Plasmas with an Optical Emission Spectroscopy during High Density Planar Inductively Coupled Dry Etching (평판형 고밀도 유도결합 건식 식각시 Optical Emission Spectroscopy를 이용한 $BCl_3$$BCl_3$/Ar 플라즈마의 분석)

  • Cho, Guan-Sik;Wantae Lim;Inkyoo Baek;Seungryul Yoo;Park, Hojin;Lee, Jewon;Kuksan Cho;S. J. Pearton
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2003.03a
    • /
    • pp.88-88
    • /
    • 2003
  • Optical Emission Spectroscopy(OES) is a very important technology for real-time monitoring of plasma in a reactor during dry etching process. OES technology is non-invasive to the plasma process. It can be used to collect information on excitation and recombination between electrons and ions in the plasma. It also helps easily diagnose plasma intensity and monitor end-point during plasma etch processing. We studied high density planar inductively coupled BCl$_3$ and BCl$_3$/Ar plasma with an OES as a function of processing pressure, RIE chuck power, ICP source power and gas composition. The scan range of wavelength used was from 400 nm to 1000 nm. It was found that OES peak Intensity was a strong function of ICP source power and processing pressure, while it was almost independent on RIE chuck power in BCl$_3$-based planar ICP processes. It was also worthwhile to note that increase of processing pressure reduced negatively self-induced dc bias. The case was reverse for RIE chuck power. ICP power and gas composition hardly had influence on do bias. We will report OES results of high density planar inductively coupled BCl$_3$ and BCl$_3$/Ar Plasma in detail in this presentation.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Data Qualification of Optical Emission Spectroscopy Spectra in Resist/Nitride/Oxide Etch: Coupon vs. Whole Wafer Etching

  • Kang, Dong-Hyun;Pak, Soo-Kyung;Park, George O.;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.433-433
    • /
    • 2012
  • As the requirement in patterning geometry continuously shrinks down, the termination of etch process at the exact time became crucial for the success in nano patterning technology. By virtue of real-time optical emission spectroscopy (OES), etch end point detection (EPD) technique continuously develops; however, it also faced with difficulty in low open ratio etching, typically in self aligned contact (SAC) and one cylinder contact (OCS), because of very small amount of optical emission from by-product gas species in the bulk plasma glow discharge. In developing etching process, one may observe that coupon test is being performed. It consumes costs and time for preparing the patterned sample wafers every test in priority, so the coupon wafer test instead of the whole patterned wafer is beneficial for testing and developing etch process condition. We also can observe that etch open area is varied with the number of coupons on a dummy wafer. However, this can be a misleading in OES study. If the coupon wafer test are monitored using OES, we can conjecture the endpoint by experienced method, but considering by data, the materials for residual area by being etched open area are needed to consider. In this research, we compare and analysis the OES data for coupon wafer test results for monitoring about the conditions that the areas except the patterns on the coupon wafers for real-time process monitoring. In this research, we compared two cases, first one is etching the coupon wafers attached on the carrier wafer that is covered by the photoresist, and other case is etching the coupon wafers on the chuck. For comparing the emission intensity, we chose the four chemical species (SiF2, N2, CO, CN), and for comparing the etched profile, measured by scanning electron microscope (SEM). In addition, we adopted the Dynamic Time Warping (DTW) algorithm for analyzing the chose OES data patterns, and analysis the covariance and coefficient for statistical method. After the result, coupon wafers are over-etched for without carrier wafer groups, while with carrier wafer groups are under-etched. And the CN emission intensity has significant difference compare with OES raw data. Based on these results, it necessary to reasonable analysis of the OES data to adopt the pre-data processing and algorithms, and the result will influence the reliability for relation of coupon wafer test and whole wafer test.

  • PDF

SELF-PALSMA OES의 능동형 오염 방지 기법

  • Kim, Nam-Sik
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.82.1-82.1
    • /
    • 2013
  • SPOES(Self Plasma Optical Emission Spectroscopy)는 반도체 및 LCD 제조 장비의 Foreline에 장착되는 센서로써, Foreline에 흐르는 Gas를 이온화시켜 이때 발생되는 빛을 분광시켜 공정의 상태 및 장비의 상태등을 종합적으로 점검할 수 있는 센서입니다. SPOES의 최대 장점은 공정 장비에 영향을 주기 않으면서 공정을 진단할 수 있고, 장비의 메인챔버에서 플라즈마 방전이 발생하지 않는 RPS (Remote Plasma System)등에 적용이 가능하며, 설치 및 분해이동과 운용이 용이한 장점이 있습니다. 하지만, SPOES는 오염성 가스 및 물질에 의한 오염에 취약한 단점이 있습니다. 예컨대, 플라즈마 방전에 의한 부산물들이 SPOES의 내부에 있는 윈도우의 렌즈에 부착되어 감도를 저하시켜, SEOES의 수명을 단축시킵니다. 또한 오염 물질이 SPOES 내부의 방전 CHAMBER에 증착되어 플라즈마 방전 효울을 저하시켜 센서의 효율을 저하시킵니다. 예를들면, 장비의 공정 챔버에서 배출되는 탄소와 같은 비금속성 오염물질과 텅스텐과 같은 금속성 오염물질이 SPOES의 방전 CHAMBER 내벽과 윈도우에 증착되어 오염을 유발합니다. 오염이 진행된 SPOES는 방전 CHAMBER의 오염으로 CHAMBER의 유전율을 변화시켜, 플라즈마 방전 효율의 저하를 가져오고, 윈도우의 오염은 빛의 투과율을 저하시켜, OES 신호의 감도를 저하시켜, SPOES 감도를 저하시키는 요인으로 작용합니다. 이러한 문제를 해결하기위한 방법으로 능동형 오염 방지 기술을 채용 하였습니다. 능동형 오염 방지 기법은 SPEOS의 방전 챔버에서 플라즈마 방전시 발생하는 진공의 밀도차를 이용하는 기술과 방전 챔버와 연결된 BYPASS LINE에 의해 발생되는 오염물질 자체 배기 시스템, 그리고 고밀도 플라즈마 방전을 일으키는 멀티 RF 기술 및 고밀도 방전을 일으키는 챔버 구조로 구성 되어 있습니다. 능동형 오염 방지 기법으로 반도체 공정에서 6개월 이상의 LIFETIME을 확보 할 수 있고, 고밀도 플라즈마로 인한 UV~NIR 영역의 감도 향상등을 확보 할 수 있습니다.

  • PDF

Dry Etching of PMMA and Polycarbonate in a Diffusion Pump-based Capacitively Coupled O2 Plasma (확산펌프 기반의 O2 축전결합 플라즈마를 이용한 PMMA와 폴리카보네이트의 건식 식각)

  • Park, Ju-Hong;Lee, Seong-Hyun;Choi, Jyoung-Hoon;Noh, Ho-Sub;Lee, Je-Won
    • Korean Journal of Materials Research
    • /
    • v.19 no.8
    • /
    • pp.421-426
    • /
    • 2009
  • We report on the capacitively coupled O2 plasma etching of PMMA and polycarbonate (PC) with a diffusion pump. Plasma process variables were process pressure and CCP power at 5 sccm $O_2$ gas flow rate. Characterization was done in order to analyze etch rate, etch selectivity, surface roughness, and morphology using stylus surface profilometry and scanning electron microscopy. Self bias decreased with increase of process pressure in the range of 25$\sim$180 mTorr. We found an important result for optimum pressure for the highest etch rate of PMMA and PC, which was 60 mTorr. PMMA and PC had etch rates of 0.46 and 0.28 ${\mu}m$/min under pressure conditions, respectively. More specifically, etch rates of the materials increased when the pressure changed from 25 mTorr to 60 mTorr. However, they reduced when the pressure increased further after 60 mTorr. RMS roughnesses of the etched surfaces were in the range of 2.2$\sim$2.9 nm. Etch selectivity of PMMA to a photoresist was $\sim$1.5:1 and that of PC was $\sim$0.9:1. Etch rate constant was about 0.04 ${\mu}m$/minW and 0.02 ${\mu}m$/minW for PMMA and PC, respectively, with the CCP power change at 5 sccm $O_2$ and 40 mTorr process pressure. PC had more erosion on the etched sidewall than PMMA did. The OES data showed that the intensity of the oxygen atomic peak (777.196 nm) proportionally increased with the CCP power.