• Title/Summary/Keyword: Reactive Ion etching

Search Result 381, Processing Time 0.033 seconds

Effects of Mixing Ratio of Silicon Carbide Particles on the Etch Characteristics of Reaction-Bonded Silicon Carbide

  • Jung, Youn-Woong;Im, Hangjoon;Kim, Young-Ju;Park, Young-Sik;Song, Jun-Baek;Lee, Ju-Ho
    • Journal of the Korean Ceramic Society
    • /
    • v.53 no.3
    • /
    • pp.349-353
    • /
    • 2016
  • We prepared a number of reaction-bonded silicon carbides (RBSCs) made from various mixing ratios of raw SiC particles, and investigated their microstructure and etch characteristics by Reactive Ion Etch (RIE). Increasing the amount of $9.5{\mu}m$-SiC particles results in a microstructure with relatively coarser Si regions. On the other hand, increasing that of $2.6{\mu}m$-SiC particles produces much finer Si regions. The addition of more than 50 wt% of $2.6{\mu}m$-SiC particles, however, causes the microstructure to become partially coarse. We also evaluated their etching behaviors in terms of surface roughness (Ra), density and weight changes, and microstructure development by employing Confocal Laser Scanning Microscope (CLSM) and Scanning Electron Microscope (SEM) techniques. During the etching process of the prepared samples, we confirmed that the residual Si region was rapidly removed and formed pits isolating SiC particles as islands. This leads to more intensified ion field on the SiC islands, and causes physical corrosion on them. Increased addition of $2.6{\mu}m$-SiC particles produces finer residual Si region, and thus decreases the surface roughness (Ra.) as well as causing weight loss after etching process by following the above etching mechanism.

Efficient Shadow-Test Algorithm for the Simulation of Dry Etching and Topographical Evolution (건식 식각 공정 시뮬레이션을 위한 효율적인 그림자 테스트 알고리즘과 토포그래피 진화에 대한 연구)

  • Kwon, Oh-Seop;Ban, Yong-Chan;Won, Tae-Young
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.2
    • /
    • pp.41-47
    • /
    • 1999
  • In this paper, we report 3D-simulations of a plasma etching process by employing cell-removal algorithm takes into account the mask shadow effect os well as spillover errors. The developed simulator haas an input interface to take not only an analytic form but a Monte Carlo distribution of the ions. The graphic user interface(GUI) was also built into the simulator for UNIX environment. To demonstrate the capability of 3D-SURFILER(SURface proFILER), we have simulated for a typical contact hole structure with 36,000($30{\times}40{\times}30$) cells, which takes about 20 minutes with 10 Mbytes memory on sun ultra sparc 1. as an exemplary case, we calculated the etch profile during the reactive ion etching(RIE) of a contact hole wherein the aspect ratio is 1.57. Furthermore, we also simulated the dependence of a damage parameter and the evolution of topography as a function of the chamber pressure and the incident ion flux.

  • PDF

The Influence of He flow on the Si etching procedure using chlorine gas

  • Kim, J.W.;Park, J.H.;M.Y. Jung;Kim, D.W.;Park, S.S.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.65-65
    • /
    • 1999
  • Dry etching technique provides more easy controllability on the etch profile such as anisotropic etching than wet etching process and the results of lots of researches on the characterization of various plasmas or ion beams for semiconductor etching have been reported. Chlorine-based plasmas or chlorine ion beam have been often used to etch several semiconductor materials, in particular Si-based materials. We have studied the effect of He flow rate on the Si and SiO2 dry etching using chlorine-based plasma. Experiments were performed using reactive ion etching system. RF power was 300W. Cl2 gas flow rate was fixed at 58.6 sccm, and the He flow rate was varied from 0 to 120 sccm. Fig. 1 presents the etch depth of si layer versus the etching time at various He flow rate. In case of low He flow rate, the etch rate was measured to be negligible for both Si and SiO2. As the He flow increases over 30% of the total inlet gas flow, the plasma state becomes stable and the etch rate starts to increase. In high Ge flow rate (over 60%), the relation between the etch depth and the time was observed to be nearly linear. Fig. 2 presents the variation of the etch rate depending on the He flow rate. The etch rate increases linearly with He flow rate. The results of this preliminary study show that Cl2/He mixture plasma is good candidate for the controllable si dry etching.

  • PDF

Microfabrication of submicron-size hole for potential held emission and near field optical sensor applications (전계방출 및 근접 광센서 응용을 위한 서브 마이크론 aperture의 제작)

  • Lee, J.W.;Park, S.S.;Kim, J.W.;M.Y. Jung;Kim, D.W.
    • Journal of the Korean Vacuum Society
    • /
    • v.9 no.2
    • /
    • pp.99-101
    • /
    • 2000
  • The fabrication of the submicron size hole has been interesting due to the potential application of the near field optical sensor or liquid metal ion source. The 2 micron size dot array was photolithographically patterned. After formation of the V-groove shape by anisotropic KOH etching, dry oxidation at $1000^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have an etch-mask for dry etching. The reactive ion etching by the inductively coupled plasma (ICP) system was performed in order to etch ~90 nm $SiO_2$ layer at the bottom of the V-groove and to etch the Si at the bottom. The negative ion energy would enhance the anisotropic etching by the $Cl_2$ gas. After etching, the remaining thickness of the oxide on the Si(111) surface was measured to be ~130 nm by scanning electron microscopy. The etched Si aperture can be used for NSOM sensor.

  • PDF

Etch Characteristics of CoTb and CoZrNb Thin Films by High Density Plasma Etching (고밀도 플라즈마 식각에 의한 CoTb과 CoZrNb 박막의 식각 특성)

  • Shin, Byul;Park, Ik Hyun;Chung, Chee Won
    • Korean Chemical Engineering Research
    • /
    • v.43 no.4
    • /
    • pp.531-536
    • /
    • 2005
  • Inductively coupled plasma reactive ion etching of CoTb and CoZrNb magnetic materials with the photoresist mask was performed using $Cl_2/Ar$ and $C_2F_6/Ar$ gas mixtures and characterized in terms of etch rate and etch profile. As the concentrations of $Cl_2$ and $C_2F_6$ gases increased, the etch rates of magnetic films decreased and the etch slopes became slanted. The $Cl_2/Ar$ gas was more effective in obtaining fast etch rate and steep sidewall slope than the $C_2F_6/Ar$ gas. As the coil rf power and dc bias increased, fast etch rate and steep etch slope were obtained but the redeposition on the sidewall was observed. This is due to the increase of ion and radical densities in plasma with increasing the coil rf power and the increase of incident ion energy to the substrate with increasing the dc bias voltage. By applying high density reactive ion etching to magnetic tunnel junction stack containing various magnetic films and metal oxide, steep etch slope and clean etch profile without redeposition were obtained.

Fabrication of Nanopatterned Oxide Layer on GaAs Substrate by using Block Copolymer and Reactive Ion Etching (블록 공중합체와 반응성 이온식각을 이용한 GaAs 기판상의 나노패터닝된 산화막 형성)

  • Kang, Gil-Bum;Kwon, Soon-Mook;Kim, Seoung-Il;Kim, Yong-Tae;Park, Jung-Ho
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.16 no.4
    • /
    • pp.29-32
    • /
    • 2009
  • Dense and periodic arrays of nano-sized holes were patterned in oxide thin film on GaAs substrate. To obtain the nano-size patterns, self-assembling diblock copolymer was used to produce thin film of uniformly distributed parallel cylinders of polymethylmethacrylate (PMMA) in polystyrene (PS) matrix. The PMMA cylinders were removed with UV expose and acetic acid rinse to produce PS nanotemplate. By reactive ion etching, pattern of the PS template was transferred to under laid silicon oxide layer. Transferred patterns were reached to the GaAs substrate by controlling the dry etching time. We confirmed the achievement of etching through the removing oxide layer and observation of GaAs substrate surface. Optimized etching time was 90 to 100 sec. Pore sizes of the nanopattern in the silicon oxide layer were 20~22 nm.

  • PDF

Fabrication of Microwire Arrays for Enhanced Light Trapping Efficiency Using Deep Reactive Ion Etching

  • Hwang, In-Chan;Seo, Gwan-Yong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.454-454
    • /
    • 2014
  • Silicon microwire array is one of the promising platforms as a means for developing highly efficient solar cells thanks to the enhanced light trapping efficiency. Among the various fabrication methods of microstructures, deep reactive ion etching (DRIE) process has been extensively used in fabrication of high aspect ratio microwire arrays. In this presentation, we show precisely controlled Si microwire arrays by tuning the DRIE process conditions. A periodic microdisk arrays were patterned on 4-inch Si wafer (p-type, $1{\sim}10{\Omega}cm$) using photolithography. After developing the pattern, 150-nm-thick Al was deposited and lifted-off to leave Al microdisk arrays on the starting Si wafer. Periodic Al microdisk arrays (diameter of $2{\mu}m$ and periodic distance of $2{\mu}m$) were used as an etch mask. A DRIE process (Tegal 200) is used for anisotropic deep silicon etching at room temperature. During the process, $SF_6$ and $C_4F_8$ gases were used for the etching and surface passivation, respectively. The length and shape of microwire arrays were controlled by etching time and $SF_6/C_4F_8$ ratio. By adjusting $SF_6/C_4F_8$ gas ratio, the shape of Si microwire can be controlled, resulting in the formation of tapered or vertical microwires. After DRIE process, the residual polymer and etching damage on the surface of the microwires were removed using piranha solution ($H_2SO_4:H_2O_2=4:1$) followed by thermal oxidation ($900^{\circ}C$, 40 min). The oxide layer formed through the thermal oxidation was etched by diluted hydrofluoric acid (1 wt% HF). The surface morphology of a Si microwire arrays was characterized by field-emission scanning electron microscopy (FE-SEM, Hitachi S-4800). Optical reflection measurements were performed over 300~1100 nm wavelengths using a UV-Vis/NIR spectrophotometer (Cary 5000, Agilent) in which a 60 mm integrating sphere (Labsphere) is equipped to account for total light (diffuse and specular) reflected from the samples. The total reflection by the microwire arrays sample was reduced from 20 % to 10 % of the incident light over the visible region when the length of the microwire was increased from $10{\mu}m$ to $30{\mu}m$.

  • PDF

The Effect of Three-Dimensional Morphology with Wet Chemical Etching in Solar Cells

  • Kim, Hyunyub;Park, Jangho;Kim, Hyunki;Kim, Joondong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.667-667
    • /
    • 2013
  • Optimizing morphology of the front surface with three dimensional structures (3D) in solar cell is essential element for not only effectivelight harvesting but also carrier collection and separation without the cost burden in process. We designed a three-dimensionally ordered front surface with wet chemical etching. Wet chemical etching is a proper way to have three dimensional structures. The method efficiently transmits the incident light at the front surface to a Si absorber and has competitive price in manufacturing when comparing with reactive ion etching (RIE) to have three dimensional structures. This indicates that optimized front surface with three dimensional structures by wet chemical etching will bring effective light management in solar cells.

  • PDF

APPLICATIN OF $CF_4$ PLASMA ETCHING TO $Ta_{0.5}Al_{0.5}$ ALLOY THIN FILM

  • Shin, Seung-Ho;Na, Kyung-Won;Kim, Seong-Jin;Chung, Yong-Sun;Auh, Keun-Ho
    • Proceedings of the Korea Association of Crystal Growth Conference
    • /
    • 1998.09a
    • /
    • pp.85-90
    • /
    • 1998
  • Reactive ion etching (RIE) of Ta-Al alloy thin film and SiO2 thin films was observed during the etching with the CF4 gas and the could be used effectively to etch the Ta-Al alloy thin film. The etching rate of the thin film at a Ta content of 50 mol% was about 67$\AA$/min. No selectivity between the Ta-Al alloy thin film and SiO2 thin films was observed during the etching with the CF4 gas and the etching rate of the SiO2 layer was 12 times faster than that of the Ta-Al alloy thin film. In addition, it was observed that photoresist of AZ5214 was more useful than Shiepley 1400-2 in RIE with the CF4 gas.

  • PDF

A Study on the Characterisitics of Reactive Ion Etching (Cylindrical Magnetron을 사용한 실리콘의 반응성 이온 건식식각의 특성에 관한 연구)

  • Yeom, Geun-Yeong
    • Korean Journal of Materials Research
    • /
    • v.3 no.4
    • /
    • pp.327-335
    • /
    • 1993
  • Using a RF cylindrical magnetron operated with two electromagnets having a Helmholz configuration, RF magnetron plasma properties and characteristics of reactive ion ething of Si were investigated as a function of applied magnetic field strengths using 3mTorr $CF_4/H_2$ and $CHF_3$. Also, I-V characteristics of Schottky diodes, which were made of silicons etched under different applied magnetic field strengths and gas environments, were measured to investigate the degree of radiation damage during the reactive ion etching. As the magnetic field strent;th increased, ion densities and radical densities of the plasmas were increased linearly, however, the dc self-bias voltages induced on the powered electrode, where the specimen are located, were decreased exponentially. Maximum etch rates, which were 5 times faster than that etched without applied magnetic filed, were obtained using near lOOGauss, and, under these conditions, little or no radiation damages on the etched silicons were found.

  • PDF