• 제목/요약/키워드: Power Mask

검색결과 221건 처리시간 0.024초

생물테러시 실내제독을 위한 효율적인 오존가스의 적용 방법 (Application of Gaseous Ozone for Cleaning Biological Weapon Agent Contaminated Building)

  • 윤제용;정우동;문성민;조민
    • 한국군사과학기술학회지
    • /
    • 제11권2호
    • /
    • pp.101-108
    • /
    • 2008
  • This study attempted to develop the technology by gaseous ozone for decontaminating building affected by a model of biological weapon agent(Bacillus subtilis spores) instead of Bacillus anthracis spore. The use of ozone is attractive method from a practical point of view of decontamination procedure since it has strong oxidation power but no residue after application. We examined the disinfection efficiency of gaseous ozone to Bacillus subtilis spores which suspension was sprayed on different material surfaces and dried. Three different types of gaseous ozone was applied : dry ozone, dry ozone with humidified air, and water bubbled wet ozone. Dry ozone(1500ppm) failed to achieve any significant inactivation for 2hrs. However, six log reduction of B. subtilis spore was achieved within 30min by 1500ppm of water bubbled wet ozone. This result shows the noticeable inactivation efficiency by gaseous ozone compared with previous studies. Good performance by wet ozone was also found for military material surface.(i.e. : gas mask hood, protective garments, army peinted metal surface).

3G W-CDMA UE 요구사항 평가를 위한 RF 트랜시버 구현 (RF Transceiver Implementation to Evaluate the Requirements of 3G W-CDMA User Equipment)

  • Il-Kyoo Lee;Seung-Hyeub Oh
    • 한국전자파학회논문지
    • /
    • 제14권2호
    • /
    • pp.148-156
    • /
    • 2003
  • 본 논문은 3 GPP 규격을 바탕으로 한 W-CDMA UE 시스템의 RF 성능관련 내용을 다루고 있으며 송수신 파라미터를 RF 성능관점에서 유출하였다. 최적의 UE성능을 얻기 위해 송신기에 대해서는 ACLR, EVM, 피크코드영역 에러, 스펙트럼 방사 마스크, 주파수 오차 안정도, 송신전력제어 범위와 같은 성능 요구사항을 고찰하였고 수신기에 대해서는 수신 감도, 블록킹 특성, 잡음지수, 인접채널 선택도, 수신 ACC 범위 등이 고려되었다. 요구된 파라미터들을 근거로 UE RF 트랜시버를 구현하였고 실제 측정 시나리오에 따라서 RF 성능평가를 수행하였다.

Improvement in LED structure for enhanced light-emission

  • Park, Seong-Ju
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 추계학술발표강연 및 논문개요집
    • /
    • pp.21-21
    • /
    • 2003
  • To increase the light-emission efficiency of LED, we increased the internal and external quantum efficiency by suppressing the defect formation in the quantum well and by increasing the light extraction efficiency in LED, respectively. First, the internal quantum efficiency was improved by investigating the effect of a low temperature (LT) grown p-GaN layer on the In$\sub$0.25/GaN/GaN MQW in green LED. The properties of p-GaN was optimized at a low growth temperature of 900oC. A green LED using the optimized LT p-type GaN clearly showed the elimination of blue-shift which is originated by the MQW damage due to the high temperature growth process. This result was attributed to the suppression of indium inter-diffusion in MQW layer as evidenced by XRD and HR-TEM analysis. Secondly, we improved the light-extraction efficiency of LED. In spite of high internal quantum efficiency of GaN-based LED, the external quantum efficiency is still low due to the total internal reflection of the light at the semiconductor-air interface. To improve the probability of escaping the photons outside from the LED structure, we fabricated nano-sized cavities on a p-GaN surface utilizing Pt self-assembled metal clusters as an etch mask. Electroluminescence measurement showed that the relative optical output power was increased up to 80% compared to that of LED without nano-sized cavities. I-V measurement also showed that the electrical performance was improved. The enhanced LED performance was attributed to the enhancement of light escaping probability and the decrease of resistance due to the increase in contact area.

  • PDF

전계 유도 방향성 결정화법을 이용한 Sil-xGex 박막의 결정화 (Crystallization of Sil-xGex Films Using Field Aided Lateral Crystallization Method)

  • 조기택;최덕균
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 추계학술발표강연 및 논문개요집
    • /
    • pp.73-73
    • /
    • 2003
  • 최근 LCD(liquid crystal display)분야에서 고해상도와 빠른 응답속도를 가지는 다결정 실리콘 박막트랜지스터에 대한 연구를 하고 있다. 그러나, poly-Si은 poly-Sil-xGex에 비해 intrinsic carrier mobility가 낮고 고온의 결정화 공정을 필요로 한다. 따라서, Poly-Si을 대체할 재료로 poly-SiGe에 대한 연구가 활발히 진행되고 있다. 본 연구에서는 전계에 의해 결정화가 가속되고 한쪽 방향으로 결정화를 제어하여 채널내 전자나 정공의 이동도를 향상시 킬 수 있는 새로운 결정화 방법인 전계 유도 방향성 결정화법을 이용하여 Ge 함량에 따른 a-Sil-xGex(0$\leq$x$\leq$0.5)의 결정화 특성을 연구하였다. 대기압 화학 기상 증착법으로 5000$\AA$의 산화막(SiO$_2$)이 증착된 유리 기판상에 플라즈마 화학 기상 증착법을 이용하여 800$\AA$의 비정질 실리콘을 증착한 후 RF magnetron sputtering법을 이용하여 Ge 함량에 따른 Sil-xGex 박막을 1000$\AA$ 증착하였다. Photolithograph방법을 이용하여 금속이 선택적으로 증착될 수 있는 특정 Pattern을 가진 mask를 형성한 후 금속을 DC magnetron sputtering법을 이용하여 상온에서 50$\AA$.을 증착하였다. 이후 시편에 전계를 인가하기 위해 시편의 양단에 전극을 형성한 후 DC Power Supply를 통해 전압을 제어하는 방식으로 전계를 인가하였다. 결정화 속도는 광학현미경을 이용하여 분석하였으며 결정화된 영역의 결정화 정도는 micro-Raman spectroscopy로 분석하였다.

  • PDF

FPD 공정을 위한 램프하우스 열전달 특성 연구

  • 김태안;서원호;김준현;김윤제
    • 한국반도체및디스플레이장비학회:학술대회논문집
    • /
    • 한국반도체및디스플레이장비학회 2006년도 추계학술대회 발표 논문집
    • /
    • pp.132-137
    • /
    • 2006
  • With the help of the development of digital-multimedia in the middle of 1990's, FDP(Flat Panel Display) had attracted considerable attention. Collimation proximity exposure system that transfers the pattern on wafer or glass exactly using mask and light with appropriate wavelength is core process in semiconductor and liquid display element. The performances of resolution required in precision exposure system are evaluated by resolving power, depth of focus and storage area. Most of development has targeted on these three factors. The optical design including lamp house has played an important role on the performance of exposure process. In this study, we evaluate the cooling system, concerning on exposure device with mercury lamp among the kernel equipment for the production of LCD, to prevent the instability of lighting due to long term accumulation of excessive heating inside the lamp house. Numerical analysis is conducted on full-scale model. The characteristics of three-dimensional flow, pressure and temperature distribution on exposure system are graphically depicted to estimate the whole cooling system for lamp house and to establish the design criteria.

  • PDF

LEA에 대한 부채널 분석 및 대응 방법 (Side channel Attacks on LEA and Its Countermeasures)

  • 박진학;김태종;안현진;원유승;한동국
    • 정보보호학회논문지
    • /
    • 제25권2호
    • /
    • pp.449-456
    • /
    • 2015
  • 최근 사물 인터넷에 대한 정보보호가 이슈화되면서 이에 적합한 알고리즘에 대한 연구가 활발히 진행되고 있다. 국내에서도 IoT환경에 적합한 경량 대칭키 암호 알고리즘인 LEA(Lightweight Encryption Algorithm)를 개발하였다. 본 논문에서는 LEA 암호에 대한 1차 전력 분석 방법들을 소개하고 이를 실험적으로 검증하였다. 그리고 1차 전력분석에 안전하도록 LEA를 설계하는 방법을 제안한다. 설계된 LEA 부채널 분석대응법의 효율성을 비교하기 위해 동일한 안전도를 제공하는 AES 부채널 대응법과 비교하였다.

$CH_4$/Ar 유도 결합 플라즈마를 이용한 Sapphire 기판의 식각 특성 (Etching properties of sapphire substrate using $CH_4$/Ar inductively coupled plasma)

  • 엄두승;김관하;김동표;양설;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 추계학술대회 논문집 Vol.21
    • /
    • pp.102-102
    • /
    • 2008
  • Sapphire (${\alpha}-Al_2O_3$) has been used as the substrate of opto-electronic device because of characteristics of thermal stability, comparatively low cost, large diameter, optical transparency and chemical compatibility. However, there is difficulty in the etching and patterning due to the physical stability of sapphire and the selectivity with sapphire and mask materials [1,2]. Therefore, sapphire has been studied on the various fields and need to be studied, continuously. In this study, the etching properties of sapphire substrate were investigated with various $CH_4$/Ar gas combination, radio frequency (RF) power, DC-bias voltage and process pressure. The characteristics of the plasma were estimated for mechanism using optical emission spectroscopy (OES). The chemical compounds on the surface of sapphire substrate were investigated using energy dispersive X-ray (EDX). The chemical reaction on the surface of the etched sapphire substrate was observed by X-ray photoelectron spectroscopy (XPS). Scanning electron microscopy (SEM) was used to investigate the vertical and slope profiles.

  • PDF

전사방식 마이크로광조형을 이용한 배열 형태 미세 구조물 가공 (Fabrication of Microstructure Array using the Projection Microstereolithography System)

  • 최재원;하영명;이석희
    • 한국정밀공학회지
    • /
    • 제24권8호통권197호
    • /
    • pp.138-143
    • /
    • 2007
  • Microstereolithography technology is similar to the conventional stereolithography process and enables to fabricate a complex 3D microstructure. This is divided into scanning and projection type according to aiming at precision and fabrication speed. The scanning MSL fabricates each layer using position control of laser spot on the resin surface, whereas the projection MSL fabricates one layer with one exposure using a mask. In the projection MSL, DMD used to generate dynamic pattern consists of $1024{\times}768$ micromirrors which have $13.68{\mu}m$ per side. The fabrication range and resolution are determined by the field of view of the DMD and the magnification of the projection lens. If using the projection lens with high power, very fine microstructures can be fabricated. In this paper, the projection MSL system adapted to a large surface for array-type fabrication is presented. This system covers the meso range, which is defined as the intermediate range between micro and macro, with a resolution of a few ${\mu}m$. The fabrication of array-type microstructures has been demonstrated to verify the performance of implemented system.

고세장비 미세채널 기반의 마이크로 히트파이프 설계 및 제조 (Design and Fabrication of a Micro-Heat Pipe with High-Aspect-Ratio Microchannels)

  • 오광환;이민규;정성호
    • 한국정밀공학회지
    • /
    • 제23권9호
    • /
    • pp.164-173
    • /
    • 2006
  • The cooling capacity of a micro-heat pipe is mainly governed by the magnitude of capillary pressure induced in the wick structure. For microchannel wicks, a higher capillary pressure is achievable for narrower and deeper channels. In this study, a metallic micro-heat pipe adopting high-aspect-ratio microchannel wicks is fabricated. Micromachining of high-aspect-ratio microchannels is done using the laser-induced wet etching technique in which a focused laser beam irradiates the workpiece placed in a liquid etchant along a desired channel pattern. Because of the direct writing characteristic of the laser-induced wet etching method, no mask is necessary and the fabrication procedure is relatively simple. Deep microchannels of an aspect ratio close to 10 can be readily fabricated with little heat damage of the workpiece. The laser-induced wet etching process for the fabrication of high-aspect-ratio microchannels in 0.5mm thick stainless steel foil is presented in detail. The shape and size variations of microchannels with respect to the process variables, such as laser power, scanning speed, number of scans, and etchant concentration are closely examined. Also, the fabrication of a flat micro-heat pipe based on the high-aspect-ratio microchannels is demonstrated.

센서노드용 RF송수신기의 구현 (Implementation of a RF Transceiver for Sensor Nodes)

  • 강상기;최흥택
    • 한국정보통신학회논문지
    • /
    • 제13권6호
    • /
    • pp.1051-1057
    • /
    • 2009
  • 교량감시, 문화재감시, 하천감시, 노약자보호, 도시관제 및 환경감시 등 많은 분야에서 USN(Ubiquitous Sensor Network)을 이용한 서비스가 시작되고 있고, USN을 구현하기 위해서는 RF 송수신기가 반드시 필요하다. 본 논문은 2.4GHz 대역에서 동작하는 센서노드용 RF 송수신기의 설계 및 제작에 대해서 기술한다. RF 송수신기의 설계과정으로 AGC의 범위 설정과 송수신기의 설계 과정이 기술되어 있다. 또한 설계된 RF 송수신기를 제작하여 성능을 시험하였으며, 수신감도, 동작범위, 주파수 안정도, 위상잡음, 송신전력, 송신대역평탄도와 스펙트럼 마스크의 측정 결과가 기술되어 있다.