• Title/Summary/Keyword: Plasma etch rate

Search Result 381, Processing Time 0.033 seconds

Dry Etch Characteristic of Ferroelectric $YMnO_3$ Thin Films Using High Density $Ar/Cl_{2}/CF_{4}$ $PAr/Cl_{2}/CF_{4}$ ($Ar/Cl_{2}/CF_{4}$ 코밀도 플라즈마를 이용한 강유전체 $YMnO_3$의 건식식각 특성연구)

  • 박재화;김창일;장의구;이철인;이병기
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.213-216
    • /
    • 2001
  • Etching behaviors of ferroelectric YMn $O_3$ thin films were studied by an inductively coupled plasma (ICP). Etch characteristic on ferroelectric YMn $O_3$ thin film have been investigated in terms of etch rate, selectivity and etch profile. The maximum etch rate of YMn $O_3$ thin film is 300 $\AA$/min at Ar/C $l_2$ of 2/8, RF power of 800W, dc bias voltage of 200V, chamber pressure of 15mTorr and substrate temperature of 3$0^{\circ}C$. Addition of C $F_4$ gas decrease the etch rate of YMn $O_3$ thin film. From the results of XPS analysis, Y $F_{X}$ compunds were found on the surface of YMn $O_3$ thin film which is etched in Ar/C1/C $F_4$ plasma. The etch profile of YMn $O_3$ film is improved by addition of C $F_4$ gas into the Ar/C $l_2$ plasma. These results suggest that fluoride yttrium acts as a sidewall passivants which reduce the sticking coefficient of chlorine on YMn $O_3$.>.

  • PDF

Plasma Etching Characteristics of Sapphire Substrate using $BCl_3$-based Inductively Coupled Plasma ($BCl_3$ 계열 유도결합 플라즈마를 이용한 사파이어 기판의 식각 특성)

  • Kim, Dong-Pyo;Woo, Jong-Chang;Um, Doo-Seng;Yang, Xue;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.363-363
    • /
    • 2008
  • The development of dry etching process for sapphire wafer with plasma has been key issues for the opto-electric devices. The challenges are increasing control and obtaining low plasma induced-damage because an unwanted scattering of radiation is caused by the spatial disorder of pattern and variation of surface roughness. The plasma-induced damages during plasma etching process can be classified as impurity contamination of residual etch products or bonding disruption in lattice due to charged particle bombardment. Therefor, fine pattern technology with low damaged etching process and high etch rate are urgently needed. Until now, there are a lot of reports on the etching of sapphire wafer with using $Cl_2$/Ar, $BCl_3$/Ar, HBr/Ar and so on [1]. However, the etch behavior of sapphire wafer have investigated with variation of only one parameter while other parameters are fixed. In this study, we investigated the effect of pressure and other parameters on the etch rate and the selectivity. We selected $BCl_3$ as an etch ant because $BCl_3$ plasmas are widely used in etching process of oxide materials. In plasma, the $BCl_3$ molecule can be dissociated into B radical, $B^+$ ion, Cl radical and $Cl^+$ ion. However, the $BCl_3$ molecule can be dissociated into B radical or $B^+$ ion easier than Cl radical or $Cl^+$ ion. First, we evaluated the etch behaviors of sapphire wafer in $BCl_3$/additive gases (Ar, $N_2,Cl_2$) gases. The behavior of etch rate of sapphire substrate was monitored as a function of additive gas ratio to $BCl_3$ based plasma, total flow rate, r.f. power, d.c. bias under different pressures of 5 mTorr, 10 mTorr, 20 mTorr and 30 mTorr. The etch rates of sapphire wafer, $SiO_2$ and PR were measured with using alpha step surface profiler. In order to understand the changes of radicals, volume density of Cl, B radical and BCl molecule were investigated with optical emission spectroscopy (OES). The chemical states of $Al_2O_3$ thin films were studied with energy dispersive X-ray (EDX) and depth profile anlysis of auger electron spectroscopy (AES). The enhancement of sapphire substrate can be explained by the reactive ion etching mechanism with the competition of the formation of volatile $AlCl_3$, $Al_2Cl_6$ or $BOCl_3$ and the sputter effect by energetic ions.

  • PDF

Model-Based Analysis of the $ZrO_2$ Etching Mechanism in Inductively Coupled $BCl_3$/Ar and $BCl_3/CHF_3$/Ar Plasmas

  • Kim, Man-Su;Min, Nam-Ki;Yun, Sun-Jin;Lee, Hyun-Woo;Efremov, Alexander M.;Kwon, Kwang-Ho
    • ETRI Journal
    • /
    • v.30 no.3
    • /
    • pp.383-393
    • /
    • 2008
  • The etching mechanism of $ZrO_2$ thin films and etch selectivity over some materials in both $BCl_3$/Ar and $BCl_3/CHF_3$/Ar plasmas are investigated using a combination of experimental and modeling methods. To obtain the data on plasma composition and fluxes of active species, global (0-dimensional) plasma models are developed with Langmuir probe diagnostics data. In $BCl_3$/Ar plasma, changes in gas mixing ratio result in non-linear changes of both densities and fluxes for Cl, $BCl_2$, and ${BCl_2}^+$. In this work, it is shown that the non-monotonic behavior of the $ZrO_2$ etch rate as a function of the $BCl_3$/Ar mixing ratio could be related to the ion-assisted etch mechanism and the ion-flux-limited etch regime. The addition of up to 33% $CHF_3$ to the $BCl_3$-rich $BCl_3$Ar plasma does not influence the $ZrO_2$ etch rate, but it non-monotonically changes the etch rates of both Si and $SiO_2$. The last effect can probably be associated with the corresponding behavior of the F atom density.

  • PDF

Effects of $N_2$ addition on chemical etching of silicon nitride layers in $F_2/Ar/N_2$ remote plasma processing

  • Park, S.M.;Kim, H.W.;Kim, S.I.;Yun, Y.B.;Lee, N.E.
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2007.04a
    • /
    • pp.78-79
    • /
    • 2007
  • In this study, chemical dry characteristics of silicon nitride layers were investigated in the $F_2/N_2/Ar$ remote plasma. A toroidal-type remote plasma source was used for the generation of remote plasmas. The effects of additive $N_2$ gas on the etch rates of various silicon nitride layers deposited using different deposition techniques and precursors were investigated by varying the various process parameters, such as the $F_2$ flow rate, the addition $N_2$ flow rate and the substrate temperature. The etch rates of the various silicon nitride layers at the room temperature were initially increased and then decreased with the $N_2$ flow increased, which indicates an existence of the maximum etch rates. The etch rates of the silicon oxide layers were also significantly increased with the substrate temperature increased. In the present experiments the $F_2$ gas flow, addition $N_2$ flow rate and the substrate temperature were found to be the critical parameters in determining the etch rate of the silicon nitride layers

  • PDF

Etching characteristics of Al-Nd alloy thin films using magnetized inductively coupled plasma

  • Lee, Y.J.;Han, H.R.;Yeom, G.Y.
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 1999.10a
    • /
    • pp.56-56
    • /
    • 1999
  • For advanced TFT-LCD manufacturing processes, dry etching of thin-film layers(a-Si, $SiN_x$, SID & gate electrodes, ITO etc.) is increasingly preferred instead of conventional wet etching processes. To dry etch Al gate electrode which is advantageous for reducing propagation delay time of scan signals, high etch rate, slope angle control, and etch uniformity are required. For the Al gate electrode, some metals such as Ti and Nd are added in Al to prevent hillocks during post-annealing processes in addition to gaining low-resistivity($<10u{\Omega}{\cdot}cm$), high performance to heat tolerance and corrosion tolerance of Al thin films. In the case of AI-Nd alloy films, however, low etch rate and poor selectivity over photoresist are remained as a problem. In this study, to enhance the etch rates together with etch uniformity of AI-Nd alloys, magnetized inductively coupled plasma(MICP) have been used instead of conventional ICP and the effects of various magnets and processes conditions have been studied. MICP was consisted of fourteen pairs of permanent magnets arranged along the inside of chamber wall and also a Helmholtz type axial electromagnets was located outside the chamber. Gas combinations of $Cl_2,{\;}BCl_3$, and HBr were used with pressures between 5mTorr and 30mTorr, rf-bias voltages from -50Vto -200V, and inductive powers from 400W to 800W. In the case of $Cl_2/BCl_3$ plasma chemistry, the etch rate of AI-Nd films and etch selectivity over photoresist increased with $BCl_3$ rich etch chemistries for both with and without the magnets. The highest etch rate of $1,000{\AA}/min$, however, could be obtained with the magnets(both the multi-dipole magnets and the electromagnets). Under an optimized electromagnetic strength, etch uniformity of less than 5% also could be obtained under the above conditions.

  • PDF

Plasma Resistance and Etch Mechanism of High Purity SiC under Fluorocarbon Plasma

  • Jang, Mi-Ran;Paek, Yeong-Kyeun;Lee, Sung-Min
    • Journal of the Korean Ceramic Society
    • /
    • v.49 no.4
    • /
    • pp.328-332
    • /
    • 2012
  • Etch rates of Si and high purity SiC have been compared for various fluorocarbon plasmas. The relative plasma resistance of SiC, which is defined as the etch rate ratio of Si to SiC, varied between 1.4 and 4.1, showing generally higher plasma resistance of SiC. High resolution X-ray photoelectron analysis revealed that etched SiC has a surface carbon content higher than that of etched Si, resulting in a thicker fluorocarbon polymer layer on the SiC surface. The plasma resistance of SiC was correlated with this thick fluorocarbon polymer layer, which reduced the reaction probability of fluorine-containing species in the plasma with silicon from the SiC substrate. The remnant carbon after the removal of Si as volatile etch products augments the surface carbon, and seems to be the origin of the higher plasma resistance of SiC.

Infinite Selectivity Etching Process of Silicon Nitride to ArF PR Using Dual-frequency $CH_2F_2/H_2/Ar$ Capacitively Coupled Plasmas (Dual-frequency $CH_2F_2/H_2/Ar$ capacitively coupled plasma를 이용한 실리콘질화물과 ArF PR의 무한 선택비 식각 공정)

  • Park, Chang-Ki;Lee, Chun-Hee;Kim, Hui-Tae;Lee, Nae-Eung
    • Journal of the Korean institute of surface engineering
    • /
    • v.39 no.3
    • /
    • pp.137-141
    • /
    • 2006
  • Process window for infinite etch selectivity of silicon nitride $(Si_3N_4)$ layers to ArF photoresist (PR) was investigated in dual frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters such as low frequency power $(P_{LF})$, $CH_2F_2$ and $H_2$ flow rate in $CH_2F_2/H_2/Ar$ plasma. It was found that infinite etch selectivities of $Si_3N_4$ layers to the ArF PR on both blanket and patterned wafers can be obtained for certain gas flow conditions. The etch selectivity was increased to the infinite values as the $CH_2F_2$ flow rate increases, while it was decreased from the infinite etch selectivity as the $H_2$ flow rate increased. The preferential chemical reaction of the hydrogen with the carbon in the polymer film and the nitrogen on the $Si_3N_4$ surface leading to the formation of HCN etch by-products results in a thinner steady-state polymer and, in turn, to continuous $Si_3N_4$ etching, due to enhanced $SiF_4$ formation, while the polymer was deposited on the ArF photoresist surface.

The Study of the Etch Characteristics of the TaN Thin Film Using an Inductively Coupled Plasma (유도 결합 플라즈마를 이용한 TaN 박막의 건식 식각 특성 연구)

  • Um, Doo-Seung;Kim, Seung-Han;Woo, Jong-Chang;Kim, Chang-Il
    • Journal of the Korean institute of surface engineering
    • /
    • v.42 no.6
    • /
    • pp.251-255
    • /
    • 2009
  • In this study, the plasma etching of the TaN thin film with $O_2/BCl_3$/Ar gas chemistries was investigated. The equipment for the etching was an inductively coupled plasma (ICP) system. The etch rate of the TaN thin film and the selectivity of TaN to $SiO_2$ and PR was studied as a function of the process parameters, including the amount of $O_2$ added, an RF power, a DC-bias voltage and the process pressure. When the gas mixing ratio was $O_2$(3 sccm)/$BCl_3$(6 sccm)/Ar(14 sccm), with the other conditions fixed, the highest etch rate was obtained. As the RF power and the dc-bias voltage were increased, the etch rate of the TaN thin film was increased. X-ray photoelectron spectroscopy (XPS) was used to investigate the chemical states of the surface of the TaN thin film.

Etch Properties of HfO2 Thin Films using CH4/Ar Inductively Coupled Plasma

  • Woo, Jong-Chang;Kim, Gwan-Ha;Kim, Dong-Pyo;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.8 no.6
    • /
    • pp.229-233
    • /
    • 2007
  • In this study, we carried out an investigation of the etching characteristics(etch rate, selectivity) of $HfO_2$ thin films in the $CH_4/Ar$ inductively coupled plasma. It was found that variations of input power and negative dc-bias voltage are investigated by the monotonic changes of the $HfO_2$ etch rate as it generally expected from the corresponding variations of plasma parameters. At the same time, a change in either gas pressure or in gas mixing ratio result in non-monotonic etch rate that reaches a maximum at 2 Pa and for $CH_4(20%)/Ar(80%)$ gas mixture, respectively. The X-ray photoelectron spectroscopy analysis showed an efficient destruction of the oxide bonds by the ion bombardment as well as showed an accumulation of low volatile reaction products on the etched surface. Based on these data, the ion-assisted chemical reaction was proposed as the main etch mechanism for the $CH_4-containing$ plasmas.

Frequency effect of TEOS oxide layer in dual-frequency capacitively coupled CH2F2/C4F8/O2/Ar plasma

  • Lee, J.H.;Kwon, B.S.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.284-284
    • /
    • 2011
  • Recently, the increasing degree of device integration in the fabrication of Si semiconductor devices, etching processes of nano-scale materials and high aspect-ratio (HAR) structures become more important. Due to this reason, etch selectivity control during etching of HAR contact holes and trenches is very important. In this study, The etch selectivity and etch rate of TEOS oxide layer using ACL (amorphous carbon layer) mask are investigated various process parameters in CH2F2/C4F8/O2/Ar plasma during etching TEOS oxide layer using ArF/BARC/SiOx/ACL multilevel resist (MLR) structures. The deformation and etch characteristics of TEOS oxide layer using ACL hard mask was investigated in a dual-frequency superimposed capacitively coupled plasma (DFS-CCP) etcher by different fHF/ fLF combinations by varying the CH2F2/ C4F8 gas flow ratio plasmas. The etch characteristics were measured by on scanning electron microscopy (SEM) And X-ray photoelectron spectroscopy (XPS) analyses and Fourier transform infrared spectroscopy (FT-IR). A process window for very high selective etching of TEOS oxide using ACL mask could be determined by controlling the process parameters and in turn degree of polymerization. Mechanisms for high etch selectivity will discussed in detail.

  • PDF