• Title/Summary/Keyword: Plasma Etching Process

Search Result 420, Processing Time 0.034 seconds

Real-time malfunction detection of plasma etching process using EPD signal traces (EPD 신호궤적을 이용한 플라즈마 식각공정의 실시간 이상검출)

  • Cha, Sang-Yeob;Yi, Seok-Ju;Koh, Taek-Beom;Woo, Kwang-Bang
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.4 no.2
    • /
    • pp.246-255
    • /
    • 1998
  • This paper presents a novel method for real-time malfunction detection of plasma etching process using EPD signal traces. First, many reference EPD signal traces are collected using monochromator and data acquisition system in normal etching processes. Critical points are defined by applying differentiation and zero-crossing method to the collected reference signal traces. Critical parameters such as intensity, slope, time, peak, overshoot, etc., determined by critical points, and frame attributes transformed signal-to symbol of reference signal traces are saved. Also, UCL(Upper Control Limit) and LCL(Lower Control Limit) are obtained by mean and standard deviation of critical parameters. Then, test EPD signal traces are collected in the actual processes, and frame attributes and critical parameters are obtained using the above mentioned method. Process malfunctions are detected in real-time by applying SPC(Statistical Process Control) method to critical parameters. the Real-time malfunction detection method presented in this paper was applied to actual processes and the results indicated that it was proved to be able to supplement disadvantages of existing quality control check inspecting or testing random-selected devices and detect process malfunctions correctly in real-time.

  • PDF

Improvement of Repeatability during Dielectric Etching by Controlling Upper Electrode Temperature (Capacitively Coupled Plasma Source를 이용한 Etcher의 상부 전극 온도 변화에 따른 Etch 특성 변화 개선)

  • Shin, Han-Soo;Roh, Yong-Han;Lee, Nae-Eung
    • Journal of the Korean Vacuum Society
    • /
    • v.20 no.5
    • /
    • pp.322-326
    • /
    • 2011
  • Etch process of silicon dioxide layer by using capacitively coupled plasma (CCP) is currently being used to manufacture semiconductor devices with nano-scale feature size below 50 nm. In typical CCP plasma etcher system, plasmas are generated by applying the RF power on upper electrode and ion bombardment energy is controlled by applying RF power to the bottom electrode with the Si wafer. In this case, however, etch results often drift due to heating of the electrode during etching process. Therefore, controlling the temperature of the upper electrode is required to obtain improvement of etch repeatability. In this work, we report repeatability improvement during the silicon dioxide etching under extreme process conditions with very high RF power and close gap between upper and bottom electrodes. Under this severe etch condition, it is difficult to obtain reproducible oxide etch results due to drifts in etch rate, critical dimension, profile, and selectivity caused by unexpected problems in the upper electrode. It was found that reproducible etch results of silicon dioxide layer could be obtained by controlling temperature of the upper electrode. Methods of controlling the upper electrode and the correlation with etch repeatability will be discussed in detail.

The study of silicon etching using the high density hollow cathode plasma system

  • Yoo, Jin-Soo;Lee, Jun-Hoi;Gangopadhyay, U.;Kim, Kyung-Hae;Yi, Jun-Sin
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2003.07a
    • /
    • pp.1038-1041
    • /
    • 2003
  • In the paper, we investigated silicon surface microstructures formed by reactive ion etching in hollow cathode system. Wet anisotropic chemical etching technique use to form random pyramidal structure on <100> silicon wafers usually is not effective in texturing of low-cost multicrystalline silicon wafers because of random orientation nature, but High density hollow cathode plasma system illustrates high deposition rate, better film crystal structure, improved etching characteristics. The etched silicon surface is covered by columnar microstructures with diameters form 50 to 100nm and depth of about 500nm. We used $SF_{6}$ and $O_{2}$ gases in HCP dry etch process. This paper demonstrates very high plasma density of $2{\times}10^{12}$ $cm^{-3}$ at a discharge current of 20 mA. Silicon etch rate of 1.3 ${\mu}s/min$. was achieved with $SF_{6}/O_{2}$ plasma conditions of total gas pressure=50 mTorr, gas flow rate=40 sccm, and rf power=200 W. Our experimental results can be used in various display systems such as thin film growth and etching for TFT-LCDs, emitter tip formations for FEDs, and bright plasma discharge for PDP applications. In this paper we directed our study to the silicon etching properties such as high etching rate, large area uniformity, low power with the high density plasma.

  • PDF

Effect of Annealing under Antimony Ambient on Structural Recovery of Plasma-damaged InSb(100) Surface

  • Seok, Cheol-Gyun;Choe, Min-Gyeong;Jeong, Jin-Uk;Park, Se-Hun;Park, Yong-Jo;Yang, In-Sang;Yun, Ui-Jun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.203-203
    • /
    • 2014
  • Due to the electrical properties such as narrow bandgap and high carrier mobility, indium antimonide (InSb) has attracted a lot of attention recently. For the fabrication of electronic or photonic devices, an etching process is required. However, during etching process, enegetic ions can induce structural damages on the bombarded surface. Especially, InSb has a very weak binding energy between In atom and Sb stom, it can be easily damaged by impingement of ions. In the previous work, to evaluate the surface properties after Ar ion beam etching, the plasma-induced structural damage on the etched InSb(100) surface had been examined by resonant Raman spectroscopy. As a result, we demonstrated the relation between the enhanced transverse optical(TO) peak in the Raman spectrum and the ion-induced structral damage near the InSb surface. In this work, the annealing effect on the etched InSb(100) surface has investigated. Annealing process was performed at $450^{\circ}C$ for 10 minute under antimony ambient. As-etched InSb(100) surface had shown a strongly enhanced TO scattering intensity in the Raman spectrum. However, the annealing process with antimony flowing caused the intensity to recover due to the structural reordering and the reduction of antimony vacancies. It proves that the origin of enhanced TO scattering is Sb vacancies. Furthermore, it shows that etching-induced damage can be cured effectively by the following annealing process under Sb ambient.

  • PDF

The Etching Characteristics of (Ba, Sr) $TiO_3$Thin Films Using Magnetically Enhanced Inductively Coupled Plasma (자장강화된 유도결합 플라즈마를 이용한 (Ba, Sr) $TiO_3$박막의 식각 특성 연구)

  • 민병준;김창일
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.12
    • /
    • pp.996-1002
    • /
    • 2000
  • Ferroelectric (Ba, Sr) TiO$_3$(BST) thin films have attracted much attention for use in new capacitor materials of dynamic random access memories (DRAMs). In order to apply BST to the DRAMs, the etching process for BST thin film with high etch rate and vertical profile must be developed. However, the former studies have the problem of low etch rate. In this study, in order to increase the etch rate, BST thin films were etched with a magnetically enhanced inductively coupled plasma(MEICP) that have much higher plasma density than RIE (reactive ion etching) and ICP (inductively coupled plasma). Experiment was done by varying the etching parameters such as CF$_4$/(CF$_4$+Ar) gas mixing ratio, rf power, dc bias voltage and chamber pressure. The maximum etch rate of the BST films was 170nm/min under CF$_4$/CF$_4$+Ar) of 0.1, 600 W/-350 V and 5 mTorr. The selectivities of BST to Pt and PR were 0.6 and 0.7, respectively. Chemical reaction and residue of the etched surface were investigated with X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS).

  • PDF

Etch Characteristics of $SiO_2$ by using Pulse-Time Modulation in the Dual-Frequency Capacitive Coupled Plasma

  • Jeon, Min-Hwan;Gang, Se-Gu;Park, Jong-Yun;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.472-472
    • /
    • 2011
  • The capacitive coupled plasma (CCP) has been extensively used in the semiconductor industry because it has not only good uniformity, but also low electron temperature. But CCP source has some problems, such as difficulty in varying the ion bombardment energy separately, low plasma density, and high processing pressure, etc. In this reason, dual frequency CCP has been investigated with a separate substrate biasing to control the plasma parameters and to obtain high etch rate with high etch selectivity. Especially, in this study, we studied on the etching of $SiO_2$ by using the pulse-time modulation in the dual-frequency CCP source composed of 60 MHz/ 2 MHz rf power. By using the combination of high /low rf powers, the differences in the gas dissociation, plasma density, and etch characteristics were investigated. Also, as the size of the semiconductor device is decreased to nano-scale, the etching of contact hole which has nano-scale higher aspect ratio is required. For the nano-scale contact hole etching by using continuous plasma, several etch problems such as bowing, sidewall taper, twist, mask faceting, erosion, distortions etc. occurs. To resolve these problems, etching in low process pressure, more sidewall passivation by using fluorocarbon-based plasma with high carbon ratio, low temperature processing, charge effect breaking, power modulation are needed. Therefore, in this study, to resolve these problems, we used the pulse-time modulated dual-frequency CCP system. Pulse plasma is generated by periodical turning the RF power On and Off state. We measured the etch rate, etch selectivity and etch profile by using a step profilometer and SEM. Also the X-ray photoelectron spectroscopic analysis on the surfaces etched by different duty ratio conditions correlate with the results above.

  • PDF

A Study on the High Selective Oxide Etching using Inductively Coupled Plasma Source (유도결합형 플라즈마원을 이용한 고선택비 산화막 식각에 관한 연구)

  • 이수부;박헌건;이석현
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.11 no.4
    • /
    • pp.261-266
    • /
    • 1998
  • In developing the high density memory device, the etching of fine pattern is becoming increasingly important. Therefore, definition of ultra fine line and space pattern and minimization of damage and contamination are essential process. Also, the high density plasma in low operating pressure is necessary. The candidates of high density plasma sources are electron cyclotron resonance plasma, helicon wave plasma, helical resonator, and inductively coupled plasma. In this study, planar type magnetized inductively coupled plasma etcher has been built. The density and temperature of Ar plasma are measured as a function of rf power, flow rate, external magnetic field, and pressure. The oxide etch rate and selectivity to polysilicon are measured as the above mentioned conditions and self-bias voltage.

  • PDF

Fabrication and Characteristics of InP-Waveguide (InP 광도파로의 식각 특성)

  • 박순룡;김진우;오범환;우덕하;김선호
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.07a
    • /
    • pp.824-827
    • /
    • 2000
  • Fabrication of InP-based photonic devices by dry etch Process is important for clear formation of waveguide mesa structure. We have developed more efficient etch process of the inductively coupled plasma (ICP) with low damages and less polymeric deposits for the InP-based photonic devices than the reactive ion etching (RIE) technique. We report the tendency of etch rate variation by the process parameters of the RF power, pressure, gas flow rate, and the gas mixing ratio. The surface roughness of InP-based waveguide structure was more improved by the light wet etching in the mixed solution of H$_2$SO$_4$:H$_2$O (1:1)

  • PDF

Chamber Monitoring with Residual Gas Analysis with Self-Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Lee, Hak-Seung;Park, Jeong-Geon;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.262.2-262.2
    • /
    • 2014
  • Plasma processing is an essential process for pattern etching and thin film deposition in nanoscale semiconductor device fabrication. It is necessary to maintain plasma chamber in steady-state in production. In this study, we determined plasma chamber state with residual gas analysis with self-plasma optical emission spectroscopy. Residual gas monitoring of fluorocarbon plasma etching chamber was performed with self-plasma optical emission spectroscopy (SPOES) and various chemical elements was identified with a SPOES system which is composed of small inductive coupled plasma chamber for glow discharge and optical emission spectroscopy monitoring system for measuring optical emission. This work demonstrates that chamber state can be monitored with SPOES and this technique can potentially help maintenance in production lines.

  • PDF

Electrical Properties of SBT Thin Films after Etching in Cl$_2$/Ar Inductively Coupled Plasma (Ar/Cl$_2$ 유도결합플라츠마 식각 후 SBT 박막의 전기적 특성)

  • 이철인;권동표;깅창일
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.07a
    • /
    • pp.58-61
    • /
    • 2002
  • SBT thin films were etched at different content of Cl$_2$in Cl$_2$/Ar plasma. We obtained the maximum etch rate of 883 ${\AA}$/min at Cl$_2$(20%)/Ar(80%). As Cl$_2$ gas increased in Cl$_2$/Ar plasma, the etch rate decreased. The maximum etch rate may be explained by variation of volume density for Cl atoms and by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction with formation of low-volatile products, which can be desorbed only by ion bombardment. The variation of volume density for Cl, F and Ar atoms and ion current density were measured by the optical emission spectroscopy and Langmuir probe. To evaluate the physical damage due to plasma, X-ray diffraction and atomic force microscopy analysis carried out. After etching process, P-E hysteresis loops were measured by ferroelectric workstation.

  • PDF