• Title/Summary/Keyword: Photo Etching

Search Result 116, Processing Time 0.029 seconds

Micromachining Thin Metal Film Using Laser Photo Patterning Of Organic Self-Assembled Monolayers (유기 자기조립 단분자막의 레이저 포토 패터닝을 이용한 금속 박막의 미세 형상 가공 기술)

  • 최무진;장원석;신보성;김재구
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2003.06a
    • /
    • pp.219-222
    • /
    • 2003
  • Self-Assembled Monolayers(SAMs) by alkanethiol adsorption to thin metal film are widely being investigated for applications as coating layer for anti-stiction or friction reduction and in fabrication of micro structure of molecular and bio molecular. Recently, there have been many researches on micro patterning using the advantages of very thin thickness and etching resistance in selective etching of thin metal film of Self- Assembled Monolayers. In this report, we present the micromachining thin metal film by Mask-Less laser patterning of alknanethiolate Self-Assembled Monolayers.

  • PDF

The Etching Properties of Indium Tin Oxide Thin Films in O2/BCl3/Ar Gas Mixture Using Inductively Coupled Plasma (유도결합플라즈마를 이용한 O2/BCl3/Ar가스에 따른 Indium Tin Oxide 박막의 식각 특성 연구)

  • Wi, Jae-Hyung;Woo, Jong-Chang;Kim, Chang-Il
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.23 no.10
    • /
    • pp.752-758
    • /
    • 2010
  • The etching characteristics of indium tin oxide (ITO) thin films in an $O_2/BCl_3/Ar$ plasma were investigated. The etch rate of ITO thin films increased with increasing $O_2$ content from 0 to 2 sccm in $BCl_3$/Ar plasma, whereas that of ITO decreased with increasing $O_2$ content from 2 sccm to 6 sccm in $BCl_3$/Ar plasma. The maximum etch rate of 65.9 nm/m in for the ITO thin films was obtained at 2 sccm $O_2$ addition. The etch conditions were the RF power of 500 W, the bias power of 200 W, the process pressure of 15 mTorr, and the substrate temperature of $40^{\circ}C$. The analysis of x-ray photo electron spectroscopy (XPS) was carried out to investigate the chemical reactions between the surfaces of ITO thin films and etch species.

A Study on the Polymer Lithography using Stereolithography (광조형법을 이용한 고분자 리소그래피에 관한 연구)

  • Jung Young Dae;Lee Hyun Seop;Son Jae Hyuk;Cho In Ho;Jeong Hae Do
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.22 no.1
    • /
    • pp.199-206
    • /
    • 2005
  • Mask manufacturing is a high COC and COO process in developing of semiconductor devices because of mask production tool with high resolution. Direct writing has been thought to be the one of the patterning method to cope with development or small-lot production of the device. This study consists two categories. One is the additional process of the direct and maskless patterning generation using SLA for easy and convenient application and the other is a removal process using wet-etching process. In this study, cured status of epoxy pattern is most important parameter because of the beer-lambert law according to the diffusion of UV light. In order to improve the contact force between patterns and substrate, prime process was performed and to remove the semi-cured resin which makes a bad effects to the pattern, spin cleaning process using TPM was also performed. At a removal process, contact force between photo-curable resin as an etching mask and Si wafer is important parameter.

Microfluidic LOC System (Microfluidic LOC 시스템)

  • Kim, Hyun-Ki;Gu, Hong-Mo;Lee, Yang-Du;Lee, Sang-Yeol;Yoon, Young-Soo;Ju, Byeong-Kwon
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07b
    • /
    • pp.906-911
    • /
    • 2004
  • In this paper, we used only PR as etching mask, while it used usually Cr/AU as etching mask, and in order to fabricate a photosensor has the increased sensitivity, we investigated on the sensitivity of general type and p-i-n type diode. we designed microchannel size width max 10um, min 5um depth max 10um, reservoir size max 100um, min 2mm. Fabrication of microfluidic devices in glass substrate by glass wet etching methods and glass to glass fusion bonding. The p-i-n diode has higher sensitivity than photodiode. Considering these results, we fabricated p-i-n diodes on the high resistive($4k{\Omega}{\cdot}cm$) wafer into rectangle and finger pattern and compared internal resistance of each pattern. The internal resistance of p-i-n diode can be decreased by the application of finger pattern has parallel resistance structure from $571\Omega$ to $393\Omega$.

  • PDF

Effect of surface roughness of AZO thin films on the characteristics of OLED device (AZO 박막의 표면 거칠기에 따른 OLED 소자의 특성)

  • Lee, B.K.;Lee, K.M.
    • Journal of the Semiconductor & Display Technology
    • /
    • v.9 no.4
    • /
    • pp.25-29
    • /
    • 2010
  • We have investigated the effect of surface roughness of TCO substrate on the characteristics of OLED (organic light emitting diodes) devices. In order to control the surface roughness of AZO thin films, we have processed photo-lithography and reactive ion etching. The micro-size patterned mask was used, and the etching depth was controlled by changing etching time. The surface morphology of the AZO thin film was observed by FESEM and atomic force microscopy (AFM). And then, organic materials and cathode electrode were sequentially deposited on the AZO thin films. Device structure was AZO/${\alpha}$-NPD/DPVB/$Alq_3$/LiF/Al. The DPVB was used as a blue emitting material. The electrical characteristics such as current density vs. voltage and luminescence vs. voltage of OLED devices were measured by using spectrometer. The current vs. voltage and luminance vs. voltage characteristics were systematically degraded with increasing surface roughness. Furthermore, the retention test clearly presented that the reliability of OLED devices was directly influenced with the surface roughness, which could be interpreted in terms of the concentration of the electric field on the weak and thin organic layers caused by the poor step coverage.

Development of Hard Mask Strip Inspection System for Semiconductor Wafer Manufacturing Process (반도체 전공정의 하드마스크 스트립 검사시스템 개발)

  • Lee, Jonghwan;Jung, Seong Wook;Kim, Min Je
    • Journal of the Semiconductor & Display Technology
    • /
    • v.19 no.3
    • /
    • pp.55-60
    • /
    • 2020
  • The hard mask photo-resist strip inspection system for the semiconductor wafer manufacturing process inspects the position of the circuit pattern formed on the wafer by measuring the distance from the edge of the wafer to the strip processing area. After that, it is an inspection system that enables you to check the process status in real time. Process defects can be significantly reduced by applying a tester that has not been applied to the existing wafer strip process, edge etching process, and wafer ashing process. In addition, it is a technology for localizing semiconductor process inspection equipment that can analyze the outer diameter of the wafer and the state of pattern formation, which can secure process stability and improve wafer edge yield.

Electric Circuit Fabrication Technology using Conductive Ink and Direct Printing

  • Jeong, Jae-U;Kim, Yong-Sik;Yun, Gwan-Su
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2009.05a
    • /
    • pp.12.1-12.1
    • /
    • 2009
  • For the micro conductive line, memory device fabrication process use many expensive processes such as manufactur-ing of photo mask, coating of photo resist, exposure, development, and etching. However, direct printing technology has the merits about simple and cost effective processes because nano-metal particles contained inks are directly injective without mask. And also, this technology has the advantage about fabrication of fine pattern line on various substrates such as FPCB, PCB, glass, polymer and so on. In this work, we have fabricated the fine and thick metal pattern line on flexible PCB substrate for the next generation electronic circuit using Ag nano-particles contained ink. To improve the line tolerance on flexible PCB, metal lines are fabricated by sequential prinitng method. Sequential printing method has vari-ous merits about fine, thick and high resolution pattern lines without bulge.

  • PDF

Photolithographic patterning and passivation of P3HT organic thin film transistors with photo-sensitive polyvinylalcohol(PVA) layers (감광성 PVA 박막을 이용한 P3HT 유기박막트랜지스터의 포토리소그래피 패터닝과 패시베이션)

  • Nam, Dong-Hyun;Han, Kyo-Yong
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.191-191
    • /
    • 2007
  • By employing a photo-sensitive PVA as a photoresist, we first demonstrated simultaneous patterning and passivation of P3HT active layer. The passivation layers were obtained by annealing the organic layers after developing PVA and over-etching the P3HT layer. The fabricated OTFTs were electrically characterized. The OTFTs after the passivation exhibited the field-effect of ${\sim}5.9{\times}10^{-4}cm^2/V{\cdot}s$, on/off current ratio of ${\sim}10^3$. The value of OTFTs a little degradation with time in air but it appeared different unpassivated OTFT.

  • PDF

Carbon 계 유기막질 Plasma Etching에 있어 COS (Carbonyl Sulfide) Gas 특성에 관한 연구

  • Kim, Jong-Gyu;Min, Gyeong-Seok;Kim, Chan-Gyu;Nam, Seok-U;Gang, Ho-Gyu;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.460-460
    • /
    • 2012
  • 반도체 Device가 Shrink 함에 따라 Pattern Size가 작아지게 되고, 이로 인해 Photo Resist 물질 자체만으로는 원하는 Patterning 물질들을 Plasma Etching 하기가 어려워지고 있다. 이로 인해 Photoresist를 대체할 Hard Mask 개념이 도입되었으며, 이 Hardmask Layer 중 Amorphous Carbon Layer 가 가장 널리 사용되고 지고 있다. 이 Amorphous Carbon 계열의 Hardmask를 Etching 하기 위해서 기본적으로 O2 Plasma가 사용되는데, 이 O2 Plasma 내의 Oxygen Species들이 가지는 등 방성 Diffusion 특성으로 인해, 원하고자 하는 미세 Pattern의 Vertical Profile을 얻는데 많은 어려움이 있어왔다. 이를 Control 하기 인해 O2 Plasma Parameter들의 변화 및 Source/Bias Power 등의 변수가 연구되어 왔으며, 이와 다른 접근으로, N2 및 CO, CO2, SO2 등의 여러 Additive Gas 들의 첨가를 통해 미세 Pattern의 Profile을 개선하고, Plasma Etching 특성을 개선하는 연구가 같이 진행되어져 왔다. 본 논문에서 VLSI Device의 Masking Layer로 사용되는, Carbon 계 유기 층의 Plasma 식각 특성에 대한 연구를 진행하였다. Plasma Etchant로 사용되는 O2 Plasma에 새로운 첨가제 가스인 카르보닐 황화물 (COS) Gas를 추가하였을 시 나타나는 Plasma 내의 변화를 Plasma Parameter 및 IR 및 XPS, OES 분석을 통하여 규명하고, 이로 인한 Etch Rate 및 Plasma Potential에 대해 비교 분석하였다. COS Gas를 정량적으로 추가할 시, Plasma의 변화 및 이로 인해 얻어지는 Pattern에서의 Etchant Species들의 변화를 통해 Profile의 변화를 Mechanism 적으로 규명할 수 있었으며, 이로 인해 기존의 O2 Plasma를 통해 얻어진 Vertical Profile 대비, COS Additive Gas를 추가하였을 경우, Pattern Profile 변화가 개선됨을 최종적으로 확인 할 수 있었다.

  • PDF

Decrease of Global Warming Effect During Dry Etching of Silicon Nitride Layer Using C3F6O/O2 Chemistries

  • Kim, Il-Jin;Moon, Hock-Key;Lee, Jung-Hun;Jung, Jae-Wook;Cho, Sang-Hyun;Lee, Nae-Eung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.459-459
    • /
    • 2012
  • Recently, the discharge of global warming gases in dry etching process of TFT-LCD display industry is a serious issue because perfluorocarbon compound (PFC) gas causes global warming effects. PFCs including CF4, C2F6, C3F8, CHF3, NF3 and SF6 are widely used as etching and cleaning gases. In particular, the SF6 gas is chemically stable compounds. However, these gases have large global warming potential (GWP100 = 24,900) and lifetime (3,200). In this work, we chose C3F6O gas which has a very low GWP (GWP100 = <100) and lifetime (< 1) as a replacement gas. This study investigated the effects of the gas flow ratio of C3F6O/O2 and process pressure in dual-frequency capacitively coupled plasma (CCP) etcher on global warming effects. Also, we compared global warming effects of C3F6O gas with those of SF6 gas during dry etching of a patterned positive type photo-resist/silicon nitride/glass substrate. The etch rate measurements and emission of by-products were analyzed by scanning electron Microscopy (SEM; HITACI, S-3500H) and Fourier transform infrared spectroscopy (FT-IR; MIDAC, I2000), respectively. Calculation of MMTCE (million metric ton carbon equivalents) based on the emitted by-products were performed during etching by controlling various process parameters. The evaluation procedure and results will be discussed in detail.

  • PDF