• Title/Summary/Keyword: Passivation thickness

Search Result 109, Processing Time 0.031 seconds

Characteristics and Gas Barrier Properties of Mg-Zn-F Films in Various Ratio of $MgF_2$ to Zn

  • Lee, Sung-Youp;Kim, Do-Eok;Shin, Byong-Wook;Kang, Byoung-Ho;Hong, Seok-Min;Kang, Shin-Won;Lee, Hyeong-Rag
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.899-901
    • /
    • 2009
  • The magnesium fluoride ($MgF_2$) has very higher optical transmission than oxide or nitride material applied for gas barrier, so we manufactured Mg-Zn-F films with Mg-Zn-F target mixed in the various ratio of $MgF_2$ to Zn and characterized films' properties. Zn is used to increase packing density of barrier film. Thickness and optical transmission of Mg-Zn-F are 200 nm and over 90 %, respectively. The result of water vapor transmission rate at 38, RH 90 ~ 100% of the Mg-Zn-F film deposited with 4 : 6 ($MgF_2$ : Zn) ratio target reached below $1{\times}10^{-3}g$/($m^2{\cdot}day$), measuring limit of instrument.

  • PDF

Atomic Layer Deposition for Display Applications

  • Park, Jin-Seong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.76.1-76.1
    • /
    • 2013
  • Atomic Layer Deposition (ALD) has remarkably developed in semiconductor and nano-structure applications since early 1990. Now, the advantages of ALD process are well-known as controlling atomic-level-thickness, manipulating atomic-level-composition control, and depositing impurity-free films uniformly. These unique properties may accelerate ALD related industries and applications in various functional thin film markets. On the other hand, one of big markets, Display industry, just starts to look at the potential to adopt ALD functional films in emerging display applications, such as transparent and flexible displays. Unlike conventional ALD process strategies (good quality films and stable precursors at high deposition processes), recently major display industries have suggested the following requirements: large area equipment, reasonable throughput, low temperature process, and cost-effective functional precursors. In this talk, it will be mentioned some demands of display industries for applying ALD processes and/or functional films, in terms of emerging display technologies. In fact, the AMOLED (active matrix organic light emitting diode) Television markets are just starting at early 2013. There are a few possibilities and needs to be developing for AMOLED, Flexible and transparent Display markets. Moreover, some basic results will be shown to specify ALD display applications, including transparent conduction oxide, oxide semiconductor, passivation and barrier films.

  • PDF

Analysis of Surface and Thin Films Using Spectroscopic Ellipsometry (Spectroscopic Ellipsometry를 이용한 표면 및 박막의 분석)

  • 김상열
    • Korean Journal of Optics and Photonics
    • /
    • v.1 no.1
    • /
    • pp.73-86
    • /
    • 1990
  • The technique of Spectroscopic Ellipsometry (SE) has been examined with emphasis on its inherent sensitivity to the existence of thin films or surface equivalents. A brief review of related theories like the Fresnel reflection coefficients, the effect of a multilayer upon reflectivities, together with the validity of the effective medium theory and the modelling procedure, is followed by a short description of the experimental setup of a rotating polarizer type SE as well as the necessful expressions which lead to tan and cos. Out of its numerous, successful applications, a few are exampled to convince a reader that SE can be applied to a variety of research fields related to surface, interface and thin films. Specifically, those are adsorption and/or desorption on metals or semiconductors, oxidation process, formation of passivation layers on an electrode, thickness determination, interface between semiconductor and its oxide, semiconductor heterojunctions, surface microroughness, void distribution of dielectric, optical thin films, depth profile of multilayered samples, in-situ or in-vitro characterization of a solid surface immersed in electrolyte during electrochemical, chemical, or biological treatments, and so on. It is expected that the potential capability of SE will be widely utilized in a very near future, taking advantage of its sensitivity to thin films or surface equivalents, and its nondestructive, nonperturbing characteristics.

  • PDF

Effect of Al2O3 Inter-Layer Grown on FeCrAl Alloy Foam to Improve the Dispersion and Stability of NiO Catalysts (NiO 촉매의 분산성 및 안정성 향상을 위하여 FeCrAl 합금 폼 위에 성장된 Al2O3 Inter-Layer 효과)

  • Lee, Yu-Jin;Koo, Bon-Ryul;Baek, Seong-Ho;Park, Man-Ho;Ahn, Hyo-Jin
    • Korean Journal of Materials Research
    • /
    • v.25 no.8
    • /
    • pp.391-397
    • /
    • 2015
  • NiO catalysts/$Al_2O_3$/FeCrAl alloy foam for hydrogen production was prepared using atomic layer deposition (ALD) and subsequent dip-coating methods. FeCrAl alloy foam and $Al_2O_3$ inter-layer were used as catalyst supports. To improve the dispersion and stability of NiO catalysts, an $Al_2O_3$ inter-layer was introduced and their thickness was systematically controlled to 0, 20, 50 and 80 nm using an ALD technique. The structural, chemical bonding and morphological properties (including dispersion) of the NiO catalysts/$Al_2O_3$/FeCrAl alloy foam were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, field-emission scanning electron microscopy and scanning electron microscopy-energy dispersive spectroscopy. In particular, to evaluate the stability of the NiO catalysts grown on $Al_2O_3$/FeCrAl alloy foam, chronoamperometry tests were performed and then the ingredient amounts of electrolytes were analyzed via inductively coupled plasma spectrometer. We found that the introduction of $Al_2O_3$ inter-layer improved the dispersion and stability of the NiO catalysts on the supports. Thus, when an $Al_2O_3$ inter-layer with a 80 nm thickness was grown between the FeCrAl alloy foam and the NiO catalysts, it indicated improved dispersion and stability of the NiO catalysts compared to the other samples. The performance improvement can be explained by optimum thickness of $Al_2O_3$ inter-layer resulting from the role of a passivation layer.

Development of a Photoemission-assisted Plasma-enhanced CVD Process and Its Application to Synthesis of Carbon Thin Films: Diamond, Graphite, Graphene and Diamond-like Carbon

  • Takakuwa, Yuji
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.105-105
    • /
    • 2012
  • We have developed a photoemission-assisted plasma-enhanced chemical vapor deposition (PAPE-CVD) [1,2], in which photoelectrons emitting from the substrate surface irradiated with UV light ($h{\nu}$=7.2 eV) from a Xe excimer lamp are utilized as a trigger for generating DC discharge plasma as depicted in Fig. 1. As a result, photoemission-assisted plasma can appear just above the substrate surface with a limited interval between the substrate and the electrode (~10 mm), enabling us to suppress effectively the unintended deposition of soot on the chamber walls, to increase the deposition rate, and to decrease drastically the electric power consumption. In case of the deposition of DLC gate insulator films for the top-gate graphene channel FET, plasma discharge power is reduced down to as low as 0.01W, giving rise to decrease significantly the plasma-induced damage on the graphene channel [3]. In addition, DLC thickness can be precisely controlled in an atomic scale and dielectric constant is also changed from low ${\kappa}$ for the passivation layer to high ${\kappa}$ for the gate insulator. On the other hand, negative electron affinity (NEA) of a hydrogen-terminated diamond surface is attractive and of practical importance for PAPECVD, because the diamond surface under PAPE-CVD with H2-diluted (about 1%) CH4 gas is exposed to a lot of hydrogen radicals and therefore can perform as a high-efficiency electron emitter due to NEA. In fact, we observed a large change of discharge current between with and without hydrogen termination. It is noted that photoelectrons are emitted from the SiO2 (350 nm)/Si interface with 7.2-eV UV light, making it possible to grow few-layer graphene on the thick SiO2 surface with no transition layer of amorphous carbon by means of PAPE-CVD without any metal catalyst.

  • PDF

Simulation Design of MHEMT Power Devices with High Breakdown Voltages (고항복전압 MHEMT 전력소자 설계)

  • Son, Myung-Sik
    • Journal of the Korean Vacuum Society
    • /
    • v.22 no.6
    • /
    • pp.335-340
    • /
    • 2013
  • This paper is for the simulation design to enhance the breakdown voltage of MHEMTs with an InP-etchstop layer. Gate-recess and channel structures has been simulated and analyzed for the breakdown of the MHEMT devices. The fully removed recess structure at the drain side of MHEMT shows that the breakdown voltage enhances from 2 V to almost 4 V as the saturation current at gate voltage of 0 V is reduced from 90 mA to 60 mA at drain voltage of 2 V. This is because the electron-captured negatively fixed charges at the drain-side interface between the InAlAs barrier and the $Si_3N_4$ passivation layers deplete the InGaAs channel layer more and thus decreases the electron current passing the channel layer and thus the impact ionization in the channel become smaller. In addition, the replaced InGaAs/InP composite channel with the same thickness in the same asymmetrically recessed structure increases the breakdown voltage to 5 V due to the smaller impact ionization and mobility of the InP layer at high drain voltage.

The characteristics of electrochemical etch-stop in THAH/IPA/pyrazine solution (TMAH/IPA/pyrazine 용액에서의 전기화학적 식각정지특성)

  • Chung, G.S.;Park, C.S.
    • Journal of Sensor Science and Technology
    • /
    • v.7 no.6
    • /
    • pp.426-431
    • /
    • 1998
  • This paper describes electrochemical etch-stop characteristics in THAH/IPA/pyrazine solution. I-V curves of n- and p-type Si in THAH/IPA/pyrazine solution were obtained. OCP(Open Circuit Potential) and PP (Passivation Potential) of p-type Si were -1.2 V and 0.1 V, and of n-type Si were -1.3 V and -0.2 V, respectively. Both n- and p-type Si, etching rates were abruptly decreased at potentials anodic to the PP. The etch-stop characteristics in THAH/IPA/pyrazine solution were observed. Since accurate etching stop occurs at pn junction, Si diaphragms having thickness of epi-layer were fabricated. Etching rate is highest at optimum etching condition, TMAH 25wt.%/IPA 17vol.%/pyrazine 0.1g/100ml. thus the elapsed time of etch-stop was reduced.

  • PDF

Forming Phases and corrsion properties of Nitride layer During the Ion Nitriding for AISI 304 Stainless Steels (AISI 304 스테인리스 강의 이온질화에 의한 질화성의 생성 상과 부식특성)

  • Shin, D. H.;Choi, W.;Lee, J. H.;Kim, H. J.;Nam, S. E.
    • Journal of the Korean institute of surface engineering
    • /
    • v.31 no.1
    • /
    • pp.54-62
    • /
    • 1998
  • In this study, the behaviorof ion nitriding of AISI 304 stainless steel was investigated using plasma ion nitriding system. The characteristics of ion nitriding, and their micsoctrucyures, and physical properties were investigated as a function of process parmeteds. important conclusions can be summarzied as follows. Firstly, it was found that growth of nitride layer in ion nitriding are mainly affected by N2 partial pressures and nitriding temperatures for AISI 304 stainless steel. The $N_2$<\TEX> partial pressure plays on important role in ion nitriding since it determiness the incoming flux of nitrogen species onto specimen surface. Nitriding thmprrature is also important besauseit determines the diffusion rates of nitrogen through nitride layers. While both parameters affects the characteristics rateding are controlled by nitridingen diffusion nitration profiles of N and alloying elements such as Cr and Ni are observed through niride layers. Secondly, nitride layer consists of the upper white laywe having various nitride phases and the underneath diffusion layers. The thickness of white layer increases with $N_2$<\TEX> partial pressures and nitriding temperatures. The thinkness of diffusion layer is increasting nitriding temperatures. Finally, nitriding of stainless steels steel show slighly low their corrsionce prorerties. However, passivation properties, which is normally observed in stainless steels, were still observed aftre ion nitriding.

  • PDF

Optical Characteristics of CdSe/ZnS Quantum Dot with Precursor Flow Rate Synthesized by using Microreactor (마이크로리액터를 이용한 전구체 유속에 따른 CdSe/ZnS 양자점의 광학특성)

  • Park, Ji Young;Jeong, Da-Woon;Ju, Won;Seo, Han Wook;Cho, Yong-Ho;Kim, Bum Sung
    • Journal of Powder Materials
    • /
    • v.23 no.2
    • /
    • pp.91-94
    • /
    • 2016
  • High-quality colloidal CdSe/ZnS (core/shell) is synthesized using a continuous microreactor. The particle size of the synthesized quantum dots (QDs) is a function of the precursor flow rate; as the precursor flow rate increases, the size of the QDs decreases and the band gap energy increases. The photoluminescence properties are found to depend strongly on the flow rate of the CdSe precursor owing to the change in the core size. In addition, a gradual shift in the maximum luminescent wave (${\lambda}_{max}$) to shorter wavelengths (blue shift) is found owing to the decrease in the QD size in accordance with the quantum confinement effect. The ZnS shell decreases the surface defect concentration of CdSe. It also lowers the thermal energy dissipation by increasing the concentration of recombination. Thus, a relatively high emission and quantum yield occur because of an increase in the optical energy emitted at equal concentration. In addition, the maximum quantum yield is derived for process conditions of 0.35 ml/min and is related to the optimum thickness of the shell material.

Improved Contact property in low temperature process via Ultrathin Al2O3 layer (Al2O3 층을 이용한 저온공정에서의 산화물 기반 트랜지스터 컨택 특성 향상)

  • Jeong, Seong-Hyeon;Sin, Dae-Yeong;Jo, Hyeong-Gyun
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2018.06a
    • /
    • pp.55-55
    • /
    • 2018
  • Recently, amorphous oxides such as InGaZnO (IGZO) and InZnO (IZO) as a channel layer of an oxide TFT have been attracted by advantages such as high mobility, good uniformity, and high transparency. In order to apply such an amorphous oxide TFTs to a display, the stability in various environments must be ensured. In the InGaZnO which has been studied in the past, Ga elements act as a suppressor of oxygen vacancy and result in a decreased mobility at the same time. Previous studies have been showed that the InZnO, which does not contain Ga, can achieve high mobility, but has relatively poor stability under various instability environments. In this study, the TFTs using $IZO/Al_2O_3$ double layer structure were studied. The introduction of an $Al_2O_3$ interlayer between source/drain and channel causes superior electrical characteristics and electrical stability as well as reduced contact resistance with optimally perfect ohmic contact. For the IZO and $Al_2O_3$ bilayer structures, the IZO 30nm IZO channels were prepared at $Ar:O_2=30:1$ by sputtering and the $Al_2O_3$ interlayer were depostied with various thickness by ALD at $150^{\circ}C$. The optimal sample exhibits considerably good TFT performance with $V_{th}$ of -3.3V and field effect mobility of $19.25cm^2/Vs$, and reduced $V_{th}$ shift under positive bias stress stability, compared to conventional IZO TFT. The enhanced TFT performances are closely related to the nice ohmic contact properties coming from the defect passivation of the IZO surface inducing charge traps, and we will provide the detail mechanism and model via electrical analysis and transmission line method.

  • PDF