• Title/Summary/Keyword: Optical Alignment System

Search Result 185, Processing Time 0.027 seconds

Alignment estimation performance of Multiple Design Configuration Optimization for three optical systems

  • Oh, Eun-Song;Kim, Seong-Hui;Kim, Yun-Jong;Lee, Han-Shin;Kim, Sug-Whan
    • Bulletin of the Korean Space Science Society
    • /
    • 2011.04a
    • /
    • pp.31.1-31.1
    • /
    • 2011
  • In this study, we investigated alignment state estimation performances of the three methods i.e. merit function regression (MFR), differential wavefront sampling (DWS) and Multiple Design Configuration Optimization (MDCO). The three target optical systems are 1) a two-mirror Cassegrain system for deep space Earth observation, 2) intermediate size three-mirror anastigmat for Earth ocean monitoring, and 3) extremely large segmented optical system for astronomical observation. We ran alignment state estimation simulation for several alignment perturbation cases including 1mm to 10mm in decenter and from 0.1 to 1 degree in tilt perturbation error for the two-mirror Cassegrain system. In general, we note that MDCO shows more competitive estimation performance than MFR and DWS. The computational concept, case definition and the simulation results are discussed with implications to future works.

  • PDF

A Study on the Mechanism of Rotational Stage with Multi Degree of Freedom for Multi-Channel Optical Alignment System (다채널 광정렬 장치에서의 다자유도 회전 스테이지 동작 특성에 관한 연구)

  • Jeong Sanghwa;Cha Kyoungrae;Kim Hyunuk;Choi Sukbong;Kim Kwangho;Park Junho
    • Proceedings of the Korean Society of Machine Tool Engineers Conference
    • /
    • 2005.05a
    • /
    • pp.150-155
    • /
    • 2005
  • In recent years, as the demands of VBNS(Very high speed Backbone Network Service) and VDSL(Very high-data rate Digital Subscriber Line) increase, the development of kernel parts of optical communication such as PLC(Planar Light Circuit), Coupler, WDM elements increase. The alignment and the attachment technology are very important to fabricate the optical elements for communication. In this paper, the mechanism of rotational stage are studied. with the three different method and the results of them are applied to the design of the system. The performance test of resolution and travel is performed.

  • PDF

Electro-Optical Characteristic for VA-LCD on the $SiO_x$ Thin Film Layer Oblique Deposited by Sputtering Method (스퍼터링으로 경사증착한 $SiO_x$ 박막을 이용한 VA-LCD의 전기광학특성)

  • Choi, Sung-Ho;Hwang, Jeoung-Yeon;Kim, Sung-Yeon;Oh, Byeong-Yun;Myoung, Jae-Min;Seo, Dae-Shik
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.451-452
    • /
    • 2006
  • We studied the electro-optical characteristic of vertical alignment liquid crystal display(VA-LCD) on the $SiO_x$ thin film deposited $45^{\circ}$ oblique by rf magnetic sputtering system. LC alignment characteristic showed homeotropic alignment, and pretilt angle was about $90^{\circ}$. A uniform liquid crystal alignment effect on the $SiO_x$ thin film was achieved and the electro-optical characteristic of the $SiO_x$ thin film deposited $45^{\circ}$ oblique by rf magnetic sputtering system was excellent.

  • PDF

Electron Gun Assembly;Alternative Grid Alignment Systems

  • Brantjes, Con;Zonneveld, Maarten
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2002.08a
    • /
    • pp.376-378
    • /
    • 2002
  • Within LG.Philips Displays electron gun assembly different grid alignment methods are used. Besides the commonly used mandrel alignment of grids (Inner Reference System, IRS), also a unique Outer Reference System (ORS) is used as grid alignment method in high-end gun assembly. This alignment method combines alignment accuracy with maximum electron optical design freedom and maximum flexibility in electron gun production.

  • PDF

A Study on the Mechanism of Rotational Stage for Optical Fiber Alignment (다채널 광소자 정렬 장치의 회전스테이지 구동 메커니즘 연구)

  • Jeong S.H.;Cha K.R.;Kim H.U.;Choi S.B.;Kim G.H.;Park J.H.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2005.06a
    • /
    • pp.1514-1517
    • /
    • 2005
  • In recent years, as the demands of VBNS(Very high speed Backbone Network Service) and VDSL(Very high-data rate Digital Subscriber Line) increase, the development of kernel parts of optical communication such as PLC(Planar Light Circuit), Coupler, WDM elements increase. The alignment and the attachment technology are very important to fabricate the optical elements for communication. In this paper, the mechanism of rotational stage are studied. with the three different method and the results of them are applied to the design of the system. The performance test of resolution and travel is performed.

  • PDF

A 3-axis Focus Mechanism of Small Satellite Camera Using Friction-Inertia Piezoelectric Actuators

  • Hong, Dae Gi;Hwang, Jai Hyuk
    • International Journal of Aerospace System Engineering
    • /
    • v.5 no.2
    • /
    • pp.8-15
    • /
    • 2018
  • For small earth observation satellites, alignment between the optical components is important for precise observation. However, satellite cameras are structurally subject to misalignment in the launch environment where vibration excitations and impacts apply, and in space environments where zero gravity, vacuum, radiant heat and degassing occur. All of these variables can cause misalignment among the optical components. The misalignment among optical components results in degradation of image quality, and a re-alignment process is needed to compensate for the misalignment. This process of re-alignment between optical components is referred to as a refocusing process. In this paper, we proposed a 3 - axis focusing mechanism to perform the refocusing process. This mechanism is attached to the back of the secondary mirror and consists of three piezoelectric inertia-friction actuators to compensate the x-axis, y-axis tilt, and de-space through three-axis motion. The fabricated focus mechanism demonstrated excellent servo performance by experimenting with PD servo control.

Passive Alignment of Photodiode by using Visible Laser and Flip Chip Bonding (가시광 레이저를 이용한 수광소자의 수동정렬 및 플립칩본딩)

  • Yu, Chong-Hee;Lee, Sei-Hyoung;Lee, Jong-Jin;Lim, Kwon-Seob;Kang, Hyun-Seo
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.14 no.3
    • /
    • pp.7-13
    • /
    • 2007
  • In the optical module for optical communications, the flip chip bonding is used fer the precise alignment of the optical fiber and optical device. In flip chip bonding, the optical device is aligned and welded while observing the alignment mark of substrate and chip by using flip chip bonder in order to bond the optical device at the exact position. In this research, optical passive alignment method of photodiode(PD) flip chip bonding is suggested for low cost optical subassembly. By using the visible He-Ne laser (633nm wavelength), photodiode is easily aligned with emitting spot on the optical fiber with the help of stereoscopic alignment system. We compensated wavelength dependent deviation about 4m to find out real alignment position of 1550nm input laser by ray tracing. The maximum optical coupling efficiency between the optical fiber and photodiode was about 23.3%.

  • PDF

Alignment method of the secondary mirror of high resolution electro-optical payload using collimator and wave front sensor (콜리메이터와 파면측정기를 이용한 고해상도 전자광학 탑재체의 제2 반사경 정렬법)

  • Jang, Hong-Sul;Jung, Dae-Jun;Youk, Young-Chun;Kim, Seong-Hui;Ko, Dai-Ho;Lee, Seung-Hoon
    • Aerospace Engineering and Technology
    • /
    • v.10 no.2
    • /
    • pp.101-104
    • /
    • 2011
  • For high resolution electro-optical payload, the alignment and assembly of the secondary mirror with respect to the primary mirror is the most important step of the whole camera assembly process. For the purpose of the secondary mirror alignment, Wave front sensor and Collimator would rather be useful than the interferometer because of its small size and easiness of handling. In this paper the brief alignment procedure and method of the secondary mirror of a high resolution electro-optical camera system was introduced.

Conceptual design of assembly and alignment for the OM(Optical Module) of large aperture Korsch type (대구경 Korsch형 탑재체 OM(Optical Module, 광구조제)의 조립 및 정렬 개념설계)

  • Jung, Dae-Jun;Jang, Hong-Sul;Lee, Eung-Shik;Lee, Deog-Gyu;Lee, Seung-Hoon
    • Aerospace Engineering and Technology
    • /
    • v.6 no.2
    • /
    • pp.40-44
    • /
    • 2007
  • Based on the optical design type, the large aperture payload has not only a different characteristic of design, assembly and alignment but also its own merits and demerits. The analysis of characteristic has been performed according to the optical design type and based on this result, our camera is 4 reflective mirror system based on the Korsch type. Each mirror assembly is to be verified in the mirror manufacturer and the Optical Module(OM) will be assembled, aligned and tested by KARI. The final performance of camera depends on the several factors, especially, the optical performance of its OM In parallel with the optical design the conceptual design of OM assembly and alignment has been performed. In this paper, the analysis of characteristic has been performed according to the optical design type and the conceptual design of OM assembly and alignment was described with regard to optical performance.

  • PDF

Experimental Sensitivity Table Method for Precision Alignment of Amon-Ra Instrument

  • Oh, Eunsong;Ahn, Ki-Beom;Kim, Sug-Whan
    • Journal of Astronomy and Space Sciences
    • /
    • v.31 no.3
    • /
    • pp.241-246
    • /
    • 2014
  • The Amon-Ra instrument is the main optical payload of the proposed EARTHSHINE satellite. It consists of a visible wavelength instrument and an IR energy channel instrument to measure a global Earth albedo. We report a new sensitivity technique for efficient alignment of the visible channel instrument. Whilst the sensitivity table method has been widely used in the alignment process, the straightforward application of the method tends to produce slow process convergence because of shop floor alignment practice uncertainties. We investigated the error sources commonly associated with alignment practices and used them when estimating the Zernike polynomial coefficients. Aided with single center field wavefront error (WFE) measurements and their corresponding Zernike polynomial coefficients, the method involves the construction and use of an experimental, instead of simulated, sensitivity table to be used for alignment state estimations. A trial alignment experiment for the Amon Ra optical system was performed and the results show that 71.28 nm in rms WFE was achieved only after two alignment iterations. This tends to demonstrate its superior performance to the conventional method.