• Title/Summary/Keyword: OES(optical emission spectroscopy)

Search Result 208, Processing Time 0.053 seconds

Surface Reaction of Ru Thin Films Etched in CF 4/O2 Gas Chemistry (CF4/O2 Gas Chemistry에 의해 식각된 Ru 박막의 표면 반응)

  • 임규태;김동표;김경태;김창일;최장현;송준태
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.12
    • /
    • pp.1016-1020
    • /
    • 2002
  • Ru thin films were etched using CF/$_4$O$_2$ plasma in an ICP (inductively coupled plasma etching) system. The maximum etch rate of Ru thin films was 168 nm/min at a CF$_4$/O$_2$ gas mixing ratio of 10 %. The selectivity of SiO$_2$ over Ru was 1.3. From the OES (optical emission spectroscopy) analysis, the optical emission intensity of the O radical had a maximum value at 10% CF$_4$ gas concentration and drcrease with further addition of CF4 gas, but etch slope was enhanced. From XPS (x-ray photoelectron spectroscopy) analysis, the surface of the etched Ru thin film in CF$_4$/O$_2$ chemistry shows Ru-F bonds by the chemical reaction of Ru and F. RuF$_{x}$ compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. From a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89$^{\circ}$.>.

Role of Features in Plasma Information Based Virtual Metrology (PI-VM) for SiO2 Etching Depth (플라즈마 정보인자를 활용한 SiO2 식각 깊이 가상 계측 모델의 특성 인자 역할 분석)

  • Jang, Yun Chang;Park, Seol Hye;Jeong, Sang Min;Ryu, Sang Won;Kim, Gon Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.18 no.4
    • /
    • pp.30-34
    • /
    • 2019
  • We analyzed how the features in plasma information based virtual metrology (PI-VM) for SiO2 etching depth with variation of 5% contribute to the prediction accuracy, which is previously developed by Jang. As a single feature, the explanatory power to the process results is in the order of plasma information about electron energy distribution function (PIEEDF), equipment, and optical emission spectroscopy (OES) features. In the procedure of stepwise variable selection (SVS), OES features are selected after PIEEDF. Informative vector for developed PI-VM also shows relatively high correlation between OES features and etching depth. This is because the reaction rate of each chemical species that governs the etching depth can be sensitively monitored when OES features are used with PIEEDF. Securing PIEEDF is important for the development of virtual metrology (VM) for prediction of process results. The role of PIEEDF as an independent feature and the ability to monitor variation of plasma thermal state can make other features in the procedure of SVS more sensitive to the process results. It is expected that fault detection and classification (FDC) can be effectively developed by using the PI-VM.

Modeling of plasma chamber leaks using wavelet neural network (웨이브릿 신경망을 이용한 플라즈마 챔버 누출 모델링)

  • Gwon, Sang-Hui;Kim, Byeong-Hwan;Park, Byeong-Chan;Woo, Bong-Ju
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.10a
    • /
    • pp.225-226
    • /
    • 2009
  • 본 연구에서는 신경망과 웨이브릿을 결합하여 플라즈마 챔버의 누출을 감시하기 위한 시계열 모델을 개발하였다. 플라즈마 데이터는 광반사분광기 (Optical Emission Spectroscopy-OES)를 이용하여 측정하였으며, 이를 시계열 신경망을 이용하여 모델링하였다. 이산치 웨이브릿 (Discrete Wavelet Transformation)은 OES 센서정보의 전 처리를 위해 이용되었다. 개발된 웨이브릿 신경망 모델은 47개의 데이터 sets을 이용하여 평가하였으며, 누출상태를 효과적으로 탐지할 수 있었다.

  • PDF

Fault Detection with OES and Impedance at Capacitive Coupled Plasmas

  • Choe, Sang-Hyeok;Jang, Hae-Gyu;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.499-499
    • /
    • 2012
  • This study was evaluated on etcher of capacitive coupled plasmas with OES (Optical Emission Spectroscopy) and impedance by VI probe that are widely used for process control and monitoring at semiconductor industry. The experiment was operated at conventional Ar and C4F8 plasma with variable change such as pressure and addition of gas (Atmospheric Leak: N2 and O2), RF, pressure, that are highly possible to impact wafer yield during wafer process, in order to observe OES and VI Probe signals. The sensitivity change on OES and Impedance by Vi probe was analyzed by statistical method to determine healthy of process. The main goal of this study is to understand unwanted tool performance to eventually improve productive capability. It is important for process engineers to actively adjust tool parameter before any serious problem occurs.

  • PDF

Real-time plasma condition estimate model based on Optical Emission Spectroscopy (OES) datafor semiconductor processing (반도체공정을 위한 OES 데이터 기반 실시간 플라즈마 상태예측 모형)

  • Hee Jin Jung;Jin Seung Ryu
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2023.11a
    • /
    • pp.341-344
    • /
    • 2023
  • 건식 반도체 공정에서 저온플라즈마를 일정한 상태로 유지하는 것은 반도체 공정의 효율을 높이기 위해서 매우 중요한 문제이다. 그러나 저온플라즈마 반응로를 진공상태로 유지해야하기 때문에 플라즈마의 상태를 예측하는 작업은 매우 어렵다. 본 연구에서는 OES 센서에서 수집된 데이터를 이용하여 플라즈마의 상태를 예측하는 모형을 개발하였다. 질소가스를 이용한 플라즈마 반응로에서 15개의 서로 다른 플라즈마를 생성하여 OES 데이터를 수집하였고 15개 플라즈마의 상태를 분류할 수 있는 Gaussian Mixture Model(GMM)을 개발하였다. 총 7,296개 파장에서 측정된 분광강도(intensity)를 주성분분석(Pricipal Component Analysis)를 통해 2개의 주성분으로 차원 축소하여 GMM 모형을 개발하엿다. 모형의 정확도는 약 81.72%으로 플라즈마의 OES데이터에 대한 해석력은 뛰어났다.

Study on Methods of Enhancement and Measurement of Corrosion Resistance for Subsea Equipment made of Aluminum (알루미늄으로 제작된 심해 장비의 부식 저항 능력 향상 방법 및 측정 방법 조사)

  • Seo, Youngkyun;Jung, Jung-Yeul
    • Plant Journal
    • /
    • v.16 no.3
    • /
    • pp.47-52
    • /
    • 2020
  • This study investigated the methodologies to enhance the corrosion resistance and the ways to measure for subsea equipment made of aluminum. The methodologies for the anticorrosion were cathodic protection, conversion coating, anodizing and organic coating. The simply analyzed ways to measure the corrosion resistance were Scanning Electron Microscope (SEM), Electrochemical Impedance Spectroscopy (EIS), Glow discharge optical emission spectrum spectroscopy (GD-OES), Fourier Transform Infrared Spectroscopy (FT-IR), Transmission Electron Microscopy (TEM), X-ray Photoelectron Spectroscopy (XPS), Scanning Vibrating Electrode Technique (SVET), contact angle and interfacial tension. The most widely used tools for increasing the corrosion resistance were the anodizing and the organic coating. Many ways were evenly used to measure corrosion. The methods more frequently utilized were SEM for the surface investigation and the contact angle to evaluate the corrosion resistance.

A Study on Photoresist Stripping and Damage Using Atmospheric Pressure Plasma (대기압 플라즈마를 이용한 감광제 제거 공정과 damage에 관한 연구)

  • Hwang, In-Uk;Yang, Seung-Kook;Song, Ho-Young;Park, Se-Geun;O, Beom-Hoan;Lee, Seung-Gol;Lee, El-Hang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.11a
    • /
    • pp.152-155
    • /
    • 2003
  • Ashing of photoresist was investigated in dielectric barrier discharges in atmospheric pressure by changing applied voltage, frequency, flow rate. we analyzed the plasma by Optical Emission Spectroscopy(OES) to monitor the variation of active oxygen species. Another new peaks of oxygen radical is observed by addition of argon gas. This may explain the increase in ashing rate with argon addition. With the results of Optical Emission Spectroscopy(OES), we can find the optimized ashing conditions. MIS capacitor for monitoring charging damage by the plasma was also studied. The results suggest the dielectric barrier discharges(DBD) can be an efficient, alternative Plasma source for general surface processing.

  • PDF

플라즈마 식각공정에서 Radial Basis Function Neural Network Model를 이용한 식각 종료점 검출

  • ShuKun, Zhao;Kim, Min-U;Han, Lee-Seul;Hong, Sang-Jin;Han, Seung-Su
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.262-262
    • /
    • 2010
  • 반도체 제조공정 중 식각공정(Etching)은 웨이퍼표면으로부터 화학적, 물리적으로 불필요한 물질들을 선택적으로 제거하는 방법이다. 식각공정 중 하나인 플라즈마 식각(Plasma etching) 공정에서 오버식각(over-etching) 과언더식각(under-etching) 되는것을피하기위해서통계적인방법을기준으로식각종료점(endpoint)를 결정한다. 본 논문의 목표는 통계적인 분석방법을 이용하지 않고 실시간 식각 데이터(realtime etching data)를 사용해서 식각 종료점을 검출하는 것이다. 식각 데이터는 시계열 데이터(time-series data)이기 때문에 간단한 구조와 적은 계산량으로 빠른 수렴속도와 좋은 안정도를 가진 Radial Basis Function Neural Network's (RBF-NN) 를 이용하여 시계열 모델(time-series model)을 구현 하였다. 광학방사분광기(Optical Emission Spectroscopy: OES)로부터 나온 6개의 데이터 세트중에서 4개의 데이터 세트는 RBF-NN을 학습하는데 사용되고 2개의 데이터 세트는 모델의 성과를 시험해 보기 위하여 사용하였다. 학습을 위한 데이터들은 Matrix화 시켜서 목표값을 설정하여 학습시킨다. 실험한 결과 학습한 RBF-NN 모형이 식각 종료점(endpoint)를 정확하게 검출된다는 것을 보여준다.

  • PDF