• 제목/요약/키워드: OES(optical emission spectroscopy)

검색결과 208건 처리시간 0.027초

Fault Detection of Plasma Etching Processes with OES and Impedance at CCP Etcher

  • Choi, Sang-Hyuk;Jang, Hae-Gyu;Chae, Hee-Yeop
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.257-257
    • /
    • 2012
  • Fault detection was carried out in a etcher of capacitive coupled plasma with OES (Optical Emission Spectroscopy) and impedance by VI probe that are widely used for process control and monitoring at semiconductor industry. The experiment was operated at conventional Ar and Fluorocarbon plasma with variable change such as pressure and addition of N2 and O2 to assume atmospheric leak, RF power and pressure that are highly possible to impact wafer yield during wafer process, in order to observe OES and VI Probe signals. The sensitivity change on OES and Impedance by VI probe was analyzed by statistical method including PCA to determine healthy of process. The main goal of this study is to find feasibility and limitation of OES and Impedances for fault detection by shift of plasma characteristics and to enhance capability of fault detection using PCA.

  • PDF

Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching

  • Lee, Ho Jae;Seo, Dong-Sun;May, Gary S.;Hong, Sang Jeen
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제13권4호
    • /
    • pp.395-401
    • /
    • 2013
  • In-situ optical emission spectroscopy (OES) is employed for leak detection in plasma etching system. A misprocessing is reported for significantly reduced silicon etch rate with chlorine gas, and OES is used as a supplementary sensor to analyze the gas phase species that reside in the process chamber. Potential cause of misprocessing reaches to chamber O-ring wear out, MFC leaks, and/or leak at gas delivery line, and experiments are performed to funnel down the potential of the cause. While monitoring the plasma chemistry of the process chamber using OES, the emission trace for nitrogen species is observed at the chlorine gas supply. No trace of nitrogen species is found in other than chlorine gas supply, and we found that the amount of chlorine gas is slightly fluctuating. We successfully found the root cause of the reported misprocessing which may jeopardize the quality of thin film processing. Based on a quantitative analysis of the amount of nitrogen observed in the chamber, we conclude that the source of the leak is the fitting of the chlorine mass flow controller with the amount of around 2-5 sccm.

SiON 박막의 광학적 특성에 대한 연구 (The study of SiON thin film for optical properties.)

  • 김도형;임기주;김기현;김현석;성만영
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집 Vol.14 No.1
    • /
    • pp.247-250
    • /
    • 2001
  • We studied optical properties of SiON thin-film in the applications of optical waveguide. SiON thin-film was grown in $300^{\circ}C$ by PECVD(plasma enhanced chemical vapor deposition) system. The change of SiON thin-film composition and refractive Index was studied as a function of varying $NH_3$ gas flow rate. As $NH_3$ gas flow rate was increased, Quantity of N and refractive index were increased at the same time. By the results, we could form the SiON thin-film to use of a waveguide with refractive index of 1.6. We analyzed the conditions of the thin-film with FTIR(fourier transform infrared) and OES (optical emission spectroscopy). N-H bonding($3390cm^{-1}$ ) can be removed by thermal annealing. And we could observe the SiH bonding state and quantity by OES analysis in $SiH_4$

  • PDF

Oxygen Plasma Characterization Analysis for Plasma Etch Process

  • Park, Jin-Su;Hong, Sang-Jeen
    • 동굴
    • /
    • 제78호
    • /
    • pp.29-31
    • /
    • 2007
  • This paper is devoted to a study of the characterization of the plasma state. For the purpose of monitoring plasma condition, we experiment on reactive ion etching (RIE) process. Without actual etch process, generated oxygen plasma, measurement of plasma emission intensity. Changing plasma process parameters, oxygen flow, RF power and chamber pressure have controlled. Using the optical emission spectroscopy (OES), we conform to the unique oxygen wavelength (777nm), the most powerful intensity region of the designated range. Increase of RF power and chamber pressure, emission intensity is increased. oxygen flow is not affect to emission intensity.

플라즈마 공정 감시를 위한 Actinometric 광방사분광기 정보의 신경망 모델링 (Neural Network Modeling of Actinometric Optical Emission Spectroscopy Information for Mo nitoring Plasma Process)

  • 권상희;황보광;이규상;우형수;김병환
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2007년도 심포지엄 논문집 정보 및 제어부문
    • /
    • pp.177-178
    • /
    • 2007
  • 플라즈마 공정은 집적회로 제작을 위한 미세 박막의 증착과 패턴닝에 핵심적으로 이용되고 있다. 본 연구에서는 플라즈마공정감시와 제어에 응용될 수 있는 모델을 제안한다. 본 모델은 광방사분광기 (Optical emission spectroscopy-OES)정보와 역전파 신경망을 이용해서 개발하였다. 제안된 기법은 Oxide 식각공정에서 수집한 데이터에 적용하였으며, 체계적인 모델링을 위해 공정데이터는 통계적 실험계획법을 적용하여 수집되었다. Raw OES 정보대신, Actinometric OES 정보를 이용하였으며, 신경망의 예측성능은 유전자 알고리즘을 이용해서 증진시켰다. OES의 차수를 줄이기 위해 주인자 분석 (Principal Component Analysis-PCA)을 세 종류의 분산(100, 99, 98%)에 대해서 적용하였다. 최적화한 모델의 예측에러는 323 $\AA/min$이었다. 이전에 PCA를 적용하고 은닉층 뉴런의 함수로 최적화한 모델의 예측에러는 570 $\AA/min$이었으며, 개발된 모델은 이에 비해 43% 증진된 예측 성능을 보이고 있다.

  • PDF

평판형 고밀도 유도결합 건식 식각시 Optical Emission Spectroscopy를 이용한 $BCl_3$$BCl_3$/Ar 플라즈마의 분석 (Diagnosis of $BCl_3$ and $BCl_3$/Ar Plasmas with an Optical Emission Spectroscopy during High Density Planar Inductively Coupled Dry Etching)

  • Cho, Guan-Sik;Wantae Lim;Inkyoo Baek;Seungryul Yoo;Park, Hojin;Lee, Jewon;Kuksan Cho;S. J. Pearton
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 춘계학술발표강연 및 논문개요집
    • /
    • pp.88-88
    • /
    • 2003
  • Optical Emission Spectroscopy(OES) is a very important technology for real-time monitoring of plasma in a reactor during dry etching process. OES technology is non-invasive to the plasma process. It can be used to collect information on excitation and recombination between electrons and ions in the plasma. It also helps easily diagnose plasma intensity and monitor end-point during plasma etch processing. We studied high density planar inductively coupled BCl$_3$ and BCl$_3$/Ar plasma with an OES as a function of processing pressure, RIE chuck power, ICP source power and gas composition. The scan range of wavelength used was from 400 nm to 1000 nm. It was found that OES peak Intensity was a strong function of ICP source power and processing pressure, while it was almost independent on RIE chuck power in BCl$_3$-based planar ICP processes. It was also worthwhile to note that increase of processing pressure reduced negatively self-induced dc bias. The case was reverse for RIE chuck power. ICP power and gas composition hardly had influence on do bias. We will report OES results of high density planar inductively coupled BCl$_3$ and BCl$_3$/Ar Plasma in detail in this presentation.

  • PDF

플라즈마 식각 공정에서 의사결정 알고리즘을 이용한 실시간 식각 종료점 검출 (Real Time Endpoint Detection in Plasma Etching Using Decision Making Algorithm)

  • 노호택;박영국;한승수
    • 전기전자학회논문지
    • /
    • 제20권1호
    • /
    • pp.9-15
    • /
    • 2016
  • 플라즈마 식각 공정에서 식각 종료점 검출은 중요한 요소이다. Optical Emission Spectroscopy (OES) 는 플라즈마 반응을 분석하는데 사용한다. 그리고 Plasma Impedance Monitoring (PIM) 은 플라즈마 공정 중에 RF power에 의한 voltage, current, power, impedance를 분석하는데 사용한다. 본 논문에서는 새로 제안하는 의사결정 알고리즘을 이용하여 single layer 산화막 플라즈마 식각에서 식각 종료점 검출의 성능을 향상시키는 것을 제안한다. 식각 종료점 검출의 정확도를 높이기 위해 OES 데이터와 PIM 데이터들을 의사결정 알고리즘에 모두 적용하여 사용한다. 제안된 방법은 SiOx 플라즈마 식각에서 식각 종료점을 정확하게 검출한다.

Modified Principal Component Analysis for Real-Time Endpoint Detection of SiO2 Etching Using RF Plasma Impedance Monitoring

  • 장해규;김대경;채희엽
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.32-32
    • /
    • 2011
  • Plasma etching is used in microelectronic processing for patterning of micro- and nano-scale devices. Commonly, optical emission spectroscopy (OES) is widely used for real-time endpoint detection for plasma etching. However, if the viewport for optical-emission monitoring becomes blurred by polymer film due to prolonged use of the etching system, optical-emission monitoring becomes impossible. In addition, when the exposed area ratio on the wafer is small, changes in the optical emission are so slight that it is almost impossible to detect the endpoint of etching. For this reason, as a simple method of detecting variations in plasma without contamination of the reaction chamber at low cost, a method of measuring plasma impedance is being examined. The object in this research is to investigate the suitability of using plasma impedance monitoring (PIM) with statistical approach for real-time endpoint detection of $SiO_2$ etching. The endpoint was determined by impedance signal variation from I-V monitor (VI probe). However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ film on Si wafer is etched by fluorocarbon plasma on inductive coupled plasma (ICP) etcher. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance analysis is compared with optical emission spectroscopy (OES). From impedance data, we tried to analyze physical properties of plasma, and real-time endpoint detection can be achieved.

  • PDF

Cross-verified Measurement of Sulfide Concentration in Anaerobic Conditions Using Spectroscopic, Electrochemical, and Mass Spectrometric Methods

  • Nakkyu Chae;Samuel Park;Sungyeol Choi
    • 방사성폐기물학회지
    • /
    • 제21권1호
    • /
    • pp.43-53
    • /
    • 2023
  • Sulfide concentrations critically affect worker safety and the integrities of underground facilities, such as deep geological repositories for spent nuclear fuel. Sulfide is highly sensitive to oxygen, which can oxidize sulfide to sulfate. This can hinder precise measurement of the sulfide concentration. Hence, a literature review was conducted, which revealed that two methods are commonly used: the methylene blue and sulfide ion-selective electrode (ISE) methods. Inductively coupled plasma optical emission spectroscopy (ICP-OES) was used for comparison with the two methods. The sulfide ISE method was found to be superior as it yielded results with a higher degree of accuracy and involved fewer procedures for quantification of the sulfide concentration in solution. ICP-OES results can be distorted significantly when sulfide is present in solution owing to the formation of H2S gas in the ICP-OES nebulizer. Therefore, the ICP-OES must be used with caution when quantifying underground water to prevent any distortion in the measured results. The results also suggest important measures to avoid problems when using ICP-OES for site selection. Furthermore, the sulfide ISE method is useful in determining sulfide concentrations in the field to predict the lifetime of disposal canisters of spent nuclear fuel in deep geological repositories and other industries.

Thin Film Characterization on Refractive Index of PECVD SiO2 Thin Films

  • Woo Hyuck Kong;In Cheon Yoon;Seung Jae Lee;Yun Jeong Choi;Sang Jeen Hong
    • 반도체디스플레이기술학회지
    • /
    • 제22권2호
    • /
    • pp.35-39
    • /
    • 2023
  • Silicon oxide thin films have been deposited by plasma-enhanced chemical vapor deposition in SiH4 and N2O plasma along the variation of the gas flow ratio. Optical emission spectroscopy was employed to monitor the plasma and ellipsometry was employed to obtain refractive index of the deposited thin film. The atomic ratio of Si, O, and N in the film was obtained using XPS depth profiling. Fourier Transform Infrared Spectroscopy was used to analyze structures of the films. RI decreased with the increase in N2O/SiH4 gas flow ratio. We noticed the increase in the Si-O-Si bond angles as the N2O/SiH4 gas flow ratio increased, according to the analysis of the Si-O-Si stretching peak between 950 and 1,150 cm-1 in the wavenumber. We observed a correlation between the optical emission intensity ratio of (ISi+ISiH)/IO. The OES intensity ratio is also related with the measured refractive index and chemical composition ratio of the deposited thin film. Therefore, we report the added value of OES data analysis from the plasma related to the thin film characteristics in the PECVD process.

  • PDF