• Title/Summary/Keyword: MIM capacitors

Search Result 59, Processing Time 0.026 seconds

Stability Improvement of 60 GHz Narrowband Amplifier Using Microstrip Coupled Lines

  • Chang, Woo-Jin;Lim, Jong-Won;Ahn, Ho-Kyun;Ji, Hong-Gu;Kim, Hae-Choen
    • ETRI Journal
    • /
    • v.31 no.6
    • /
    • pp.741-748
    • /
    • 2009
  • We present an analysis of microstrip coupled lines (MCLs) used to improve the stability of a 60 GHz narrowband amplifier. The circuit has a 4-stage structure implementing MCLs instead of metal-insulator-metal (MIM) capacitors for the unconditional stability of the amplifier and yield enhancement. The stability parameter, U, is used to compare the stability of MCLs with that of MIM capacitors. Experimental results show that MCLs are more stable than MIM capacitors with the same capacitances as MCLs because the parasitic parallel resistances of MCLs are lower than those of MIM capacitors. Moreover, the bandwidth of an amplifier using MCLs is narrower than one using MIM capacitors because the parasitic series inductances of MCLs are higher than those of MIM capacitors.

Deposition and Electrical Properties of Silicon Nitride Thin Film MIM Capacitors for MMIC Applications (MMIC에 적용되는 MIM 커패시터의 실리콘 질화막 증착과 전기적 특성)

  • 성호근;소순진;박춘배
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.3
    • /
    • pp.283-288
    • /
    • 2004
  • We have fabricated MIM capacitors for MMIC applications, with capacitances as high as 600pF/$\textrm{mm}^2$ and excellent electrical properties of the insulator layer. Silicon nitride thin film is the desirable material for MMIC capacitor fabrication. Standard MIM capacitance in MMIC is 300pF/$\textrm{mm}^2$ with an insulator layer thickness of more than 2000$\AA$. However, capacitors with thin insulator layers have breakdown voltages as low as 20V. We have deposited insulator layers by PECVD in our MIM structure with an air bridge between the top metal and the contact pad. The PECVD process was optimized for fabricating the desired capacitors to be used in MMIC. Silicon nitride(Si$_{x}$N$_{y}$) thin films of about 1000$\AA$ thick show capacitances of about 600pF/$\textrm{mm}^2$, and breakdown voltages above 70V at 100nA.A.A.

Characterization of BTO based MIM Capacitors Embedded into Organic Packaging Substrate (유기 패키징 기판에서의 BTO 기반의 임베디드 MIM 커패시터의 특성 분석)

  • Lee, Seung-J.;Lee, Han-S.;Park, Jae-Y.
    • Proceedings of the KIEE Conference
    • /
    • 2007.07a
    • /
    • pp.1504-1505
    • /
    • 2007
  • In this paper, fully embedded high Dk BTO MIM capacitors have been developed into a multi-layered organic package substrate for low cost RF SOP (System on Package) applications. These embedded MIM capacitors were designed and simulated by using CST 3D EM simulators for finding out optimal geometries and verifying their applicability. The embedded MIM capacitor with a size of $550\;{\times}\;550\;um^2$ has a capacitance of 5.3pF and quality factor of 43 at 1.5 GHz, respectively. The measured performance characteristics were well matched with 3D EM simulated ones. Equivalent circuit parameters of the embedded capacitors were extracted for making a design library.

  • PDF

$ZrO_2$가 적용된 MIM Capacitor의 신뢰성 분석

  • Lee, So-Yeong;Jo, Seong-Won;Gwon, Hyeok-Min;Han, In-Sik;Park, Yeong-Seok;Park, Sang-Uk;Lee, Hui-Deok
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.73-73
    • /
    • 2009
  • In this paper, electrical properties in $ZrO_2$-based high-k metal MIM capacitors were studied. Linear voltage coefficient of capacitance (VCC) was 72.375 ppm/V, quadratic VCC was $174.581ppm/V^2$, temperature coefficients of capacitance was $111.01ppm/^{\circ}C$ at 100kHz and $89.497ppm/^{\circ}C$ at 1MHz, which indicate the temperature dependence of electrical parameter for MIM capacitors.

  • PDF

A Study on the Dielectric Characteristics and Microstructure of $Si_3N_4$ Metal-Insulator-Metal Capacitors ($Si_3N_4$를 이용한 금속-유전체-금속 구조 커패시터의 유전 특성 및 미세구조 연구)

  • 서동우;이승윤;강진영
    • Journal of the Korean Vacuum Society
    • /
    • v.9 no.2
    • /
    • pp.162-166
    • /
    • 2000
  • High quality $Si_3N_4$ metal-insulator-metal (MIM) capacitors were realized by plasma enhanced chemical vapor deposition (PECVD). Titanium nitride (TiN) adapted as a diffusion barrier reduced the interfacial reaction between $Si_3N_4$ dielectric layer and aluminum metal electrode showing neither hillock nor observable precipitate along the interface. The capacitance and the current-voltage characteristics of the MIM capacitors showed that the minimum thickness of $Si_3N_4$ layer should be limited to 500 $\AA$ under the present process, below which most of the capacitors were electrically shorted resulting in the devastation of on-wafer yield. According to the transmission electron microscopy (TEM) on the cross-sectional microstructure of the capacitors, the dielectric breakdown was caused by slit-like voids formed at the interface between TiN and $Si_3N_4$ layers when the thickness of $Si_3N_4$ layer was less than 500 $\AA$. Based on the calculation of thermally-induced residual stress, the formation of voids was understood from the mechanistic point of view.

  • PDF

A Study on the Characteristic Analysis of Implemented Baseband AIN MIM Capacitor for Wireless PANs & Mobile Communication (무선PAN 및 이동통신용 기저대역 AIN MIM Capacitor의 구현과 특성분석에 관한 연구)

  • Lee, Jong-Joo;Kim, Eung-Kwon;Cha, Jae-Sang;Kim, Jin-Young;Kim, Young-Sung
    • The Journal of The Korea Institute of Intelligent Transport Systems
    • /
    • v.7 no.5
    • /
    • pp.97-105
    • /
    • 2008
  • The micro capacitors are passive elements necessary to electronic circuits and wireless portable PAN(personal area network) and Mobile Communications device modules in the baseband circuits in combination with another passive and active devices. As capacitance is proportionally increased with dielectric constant and electrode areas, in addition, inversely decreased the thickness of the dielectric material, thus thin film capacitors are generally seen as a preferable means to achieve high performance and thin film capacitors are used in a variety of functional circuit devices. In this paper, propose dielectric material as AIN(Aluminium nitride) to make micro thin film capacitor, and this capacitor has the MIM(metal-insulator-metal) structure. AIN thin films are widespread applied because they had more excellent properties such as chemical stability, high thermal conductivity, electrical isolation and so on. In addition, AIN films show low frequency response for baseband signal ranges, I-V and C-V electrical characterization of a thin film micro capacitor. The above experimental test and estimated results demonstrate that the thin film capacitor has sufficient and efficient functional performance to be the baseband range frequency of general electronics circuit and passive device applications.

  • PDF

Fabrication of High Break-down Voltage MIM Capacitors for IPD Applications

  • Wang, Cong;Kim, Nam-Young
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.241-241
    • /
    • 2009
  • For the Radio Frequency Integrated Passive Device (RFIPD) application, we have successfully developed and characterized high break-down voltage metal-insulator-metal (MIM) capacitors with 2,000 ${\AA}$ plasma-enhanced chemical vapor deposition (PECVD) silicon nitride which deposited with $SiH_4/NH_3$ gas mixing rate, working pressure, and RF power of PECVD at $250^{\circ}C$ chamber temperature. At the PECVD process condition of gas mixing rate (0.957), working pressure (0.9 Torr), and RF power (60 W), the AFM RMS value of about 2,000 ${\AA}$ silicon nitride on the bottom metal was the lowest of 0.862 nm and break-down electric field was the highest of about 8.0 MV/cm with the capacitance density of 326.5 $pF/mm^2$.

  • PDF

A Study on the $Si_3N_4$ Thin Films Deposited by PECVD for MMIC Capacitor (MMIC Capacitor를 위한 PECVD $Si_3N_4$ 박막에 관한 연구)

  • Sung, Ho-Kun;Song, Min-Jong;Kim, Young-Gab;Park, Choon-Bae
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.412-415
    • /
    • 2003
  • [ $Si_3N_4$ ] thin film is the good material to fabricate the capacitors at MMIC processes. Normally, $Si_3N_4$ thin films is used to dielectric in the MIM capacitor and film thickness is $2000\;{\AA}$. Insulator(or dielectric) was deposited by PECVD at our MIM structure with air bridge which connect between top metal and contact pad. We optimized PECVD process to fabricate the good capacitors which can be applied at the true MMIC. The thickness of our $Si_3N_4$ thin films was $1000\;{\AA}$ shallower than $2000\;{\AA}$, and their breakdown voltages were above 70V.

  • PDF

S-Parameter Simulation for Trench Structure and Oxide High Dielectric of Trench MIM Capacitor (Trench구조와 산화물 고유전체에 따른 Trench MIM Capacitor S-Parameter 해석)

  • Park, Jung-Rae;Kim, Gu-Sung
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.4
    • /
    • pp.167-170
    • /
    • 2021
  • Integrated passive device (IPD) technology has emerged with the need for 5G. In order to integrate and miniaturize capacitors inside IPD, various studies are actively performed using high-k materials and trench structures. In this paper, an EM(Electromagnetic) simulation study was performed by applying an oxide dielectric to the capacitors having a various trench type structures. Commercially available materials HfO2, Al2O3, and Ta2O5 are applied to non, circle, trefoil, and quatrefoil type trench structures to confirm changes in each material or structure. As a result, the bigger the capacitor area and the higher dielectric constant of the oxide dielectric, the insertion loss tended to decrease.