• Title/Summary/Keyword: Layer Removal

Search Result 668, Processing Time 0.024 seconds

Preparation and Characterization of $TiO_2$ Membranes for Microfiltration ($TiO_2$ 정밀여과막의 제조 및 특성)

  • 한상욱;최세영;현상훈;조철구;강한규
    • Journal of the Korean Ceramic Society
    • /
    • v.33 no.6
    • /
    • pp.700-708
    • /
    • 1996
  • TiO2 membranes for microfiltration were prepared on $\alpha$-alumina support tube by slurry coating. The coating layer was obtained by flowing TiO2 slip on the inner surface of the alumina support. TiO2 membranes were heat-treated at 9$25^{\circ}C$ for 2 hrs. The thickness of the unsupported membrane was about 10${\mu}{\textrm}{m}$. The mean pore diameter of the membranes were 0.09 and 0.15${\mu}{\textrm}{m}$ respectively and the pure water flux was 900~1,200ι/m2.hr at room temperature and 1 bar. For a possible application of oily wastewater treatement an kerosene/wa-ter emulsion was separated in terms of flux and removal efficiency. In 60 min of operating time the flux of TiO2 membranes was 50~100 ι/m2.hr and removal efficiency was over 97% at 3kgf/cm2 of operating pres-sure and 600 ml/min of flow rate. TiO2 membranes could be recycled by reheat treatments at $600^{\circ}C$ for 2 hrs.

  • PDF

Effect of Surface Pretreatment on Film Properties Deposited by Electro-/Electroless Deposition in Cu Interconnection (반도체 구리 배선공정에서 표면 전처리가 이후 구리 전해/무전해 전착 박막에 미치는 영향)

  • Lim, Taeho;Kim, Jae Jeong
    • Journal of the Korean Electrochemical Society
    • /
    • v.20 no.1
    • /
    • pp.1-6
    • /
    • 2017
  • This study investigated the effect of surface pretreatment, which removes native Cu oxides on Cu seed layer, on subsequent Cu electro-/electroless deposition in Cu interconnection. The native Cu oxides were removed by using citric acid-based solution frequently used in Cu chemical mechanical polishing process and the selective Cu oxide removal was successfully achieved by controlling the solution composition. The characterization of electro-/electrolessly deposited Cu films after the oxide removal was then performed in terms of film resistivity, surface roughness, etc. It was observed that the lowest film resistivity and surface roughness were obtained from the substrate whose native Cu oxides were selectively removed.

A Study on the Removal of CFRP Machining Defects by Various Tool Geometries (공구 형상에 따른 CFRP의 가공결함 제거에 관한 연구)

  • Park, Ki Moon;Ko, Tae Jo;Yu, Zhen;Kumaran S, Thirumalai
    • Journal of the Korean Society of Manufacturing Process Engineers
    • /
    • v.16 no.4
    • /
    • pp.16-23
    • /
    • 2017
  • CFRP(Carbon Fiber Reinforced Plastics) has many industrial applications due to its low weight and high strength properties. Due to its superior properties, for example, excellent resistance to fatigue wear, corrosion, and breakage from fatigue, it has been widely applicable to aircraft, automotive, and medical industries and so on. The main machining for CFRP is drilling, and route milling. In case of drilling, the machining defects such as the delamination of each layer, uncut fiber, resin burning, spalling, and exit burrs are inevitable. The issue to remove such kind of defects is necessary to make CFRP parts successful. From this point of view, this paper investigates the removal effectiveness of machining defects existing at exit region with different type of tool geometries. Consequently, based on the experiments, the tool geometry is most impact factor to remove uncut fiber or resin.

Effects of Residual PMMA on Graphene Field-Effect Transistor

  • Jung, J.H.;Kim, D.J.;Sohn, I.Y.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.561-561
    • /
    • 2012
  • Graphene, two dimensional single layer of carbon atoms, has tremendous attention due to its superior property such as fast electron mobility, high thermal conductivity and optical transparency, and also found many applications such as field-effect transistors (FET), energy storage and conversion, optoelectronic device, electromechanical resonators and chemical sensors. Several techniques have been developed to form the graphene. Especially chemical vapor deposition (CVD) is a promising process for the large area graphene. For the electrically isolated devices, the graphene should be transfer to insulated substrate from Cu or Ni. However, transferred graphene has serious drawback due to remaining polymeric residue during transfer process which induces the poor device characteristics by impurity scattering and it interrupts the surface functionalization for the sensor application. In this study, we demonstrate the characteristics of solution-gated FET depending on the removal of polymeric residues. The solution-gated FET is operated by the modulation of the channel conductance by applying a gate potential from a reference electrode via the electrolyte, and it can be used as a chemical sensor. The removal process was achieved by several solvents during the transfer of CVD graphene from a copper foil to a substrate and additional annealing process with H2/Ar environments was carried out. We compare the properties of graphene by Raman spectroscopy, atomic force microscopy(AFM), and X-ray Photoelectron Spectroscopy (XPS) measurements. Effects of residual polymeric materials on the device performance of graphene FET will be discussed in detail.

  • PDF

Investigation of acrylic/boric acid composite gel for neutron attenuation

  • Ramadan, Wageeh;Sakr, Khaled;Sayed, Magda;Maziad, Nabila;El-Faramawy, Nabil
    • Nuclear Engineering and Technology
    • /
    • v.52 no.11
    • /
    • pp.2607-2612
    • /
    • 2020
  • The present work was aimed to show the possibility of using hydrogel (acrylic/boric acid) for evaluation of the neutron radiation shielding. The influence of acrylic acid concentration, different gamma doses and relative contents of boric acid were studied. The physical properties and the thermomechanical stability of the studied samples were investigated. The shielding property of the composite for neutron was tested by Pu-Be neutron source (5 Ci) under room temperature. The neutron fluence rates and gamma fluxes were measured using a stilbene organic scintillator. The macroscopic effective removal cross-section ΣR (cm-1) of fast neutrons and total attenuation coefficient μ (cm-1) of gamma rays has been studied experimentally. The transmission parameters, the relaxation length (??) and the half-value layer (HVL) were obtained. The obtained results indicated that the addition of boric acid to acrylic acid tends to increase the macroscopic effective removal cross-section ΣR (cm-1) to 0.141 compared to 0.094 of ordinary concrete.

A study on the formation and removal of residue and damaged layer on the overched silicon surface during the contact oxide etching using $C_4$F$_8$/H$_2$ helicon were plasmas (C$_4$F$_8$/H$_2$ helicon were 플라즈마를 이용한 contact 산화막 식각 공정시 과식화된 실리콘 표면의 잔류막과 손상층 형성 및 이의 제거에 관항 연구)

  • 김현수;이원정;백종태;염근영
    • Journal of the Korean institute of surface engineering
    • /
    • v.31 no.2
    • /
    • pp.117-126
    • /
    • 1998
  • In this study, the residue remaining on the silicon wafer during the oxide overetching using $C_4F_8/H_2$ helicon were plasmas and effects of various cleaning and annealing methods on the removal of the remaining residue were investigated. The addition of 30%$H_2$ to the C4F8 plasma increased the C/F ratio and the thickness of the residue on the etched silicon surface. Most of the residuse on the etched surfaces colud be removed by the oxygen plasmsa cleaning followed by thermal annealing over $450^{\circ}C$. Hydrogen-coataining residue formed on the silicon by 70%$C_4F_8/30%H_2$ helicon plasmas was more easily removed than hydrogen-free residue formed residue formed by $C_4F_8$ helicon wear plasmas. However, damage remaining on the silicon surface overetched using 70%$C_4F_8/30%H_2$ helicon plasmas was intensive and the degree of reocvery duing the post-annealing was lower.

  • PDF

In-situ Characterization of Electrochemical and Frictional Behaviors During Copper CMP

  • Eom, Dae-Hong;Kang, Young-Jae;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.227-230
    • /
    • 2004
  • As the organic acids were added in the slurry, zeta potential of alumina was changed to negative value and IEP value was shifted from alkaline to acidic pH. In citric acid based slurry, Cu surface continuously dissolved and etching depth linearly increased. On the contrary, passivation layer was grown on Cu surface in oxalic acid based slurry. As the platen rotation speed increased, Preston coefficient decreased in both slurries. With oxalic acid based slurry, at low velocity, removal rate is high value because of high friction force compared to citric acid based slurry. As platen velocity increased, removal of Cu in citric acid based slurry became higher value than oxalic acid based slurry. Typical lubrication behaviors were observed in both slurries. As Sommerfeld number increased, COF values gradually decreased and then re-increased. It indicated that lubrication was changed to direct contact or semi-direct contact mode to hydro-lubrication mode.

  • PDF

Method of Ga removal from a specimen on a microelectromechanical system-based chip for in-situ transmission electron microscopy

  • Yena Kwon;Byeong-Seon An;Yeon-Ju Shin;Cheol-Woong Yang
    • Applied Microscopy
    • /
    • v.50
    • /
    • pp.22.1-22.6
    • /
    • 2020
  • In-situ transmission electron microscopy (TEM) holders that employ a chip-type specimen stage have been widely utilized in recent years. The specimen on the microelectromechanical system (MEMS)-based chip is commonly prepared by focused ion beam (FIB) milling and ex-situ lift-out (EXLO). However, the FIB-milled thin-foil specimens are inevitably contaminated with Ga+ ions. When these specimens are heated for real time observation, the Ga+ ions influence the reaction or aggregate in the protection layer. An effective method of removing the Ga residue by Ar+ ion milling within FIB system was explored in this study. However, the Ga residue remained in the thin-foil specimen that was extracted by EXLO from the trench after the conduct of Ar+ ion milling. To address this drawback, the thin-foil specimen was attached to an FIB lift-out grid, subjected to Ar+ ion milling, and subsequently transferred to an MEMS-based chip by EXLO. The removal of the Ga residue was confirmed by energy dispersive spectroscopy.

Investigation on the Effect of Corrosion Inhibitor on Removal Rate and Surface Characteristic of Cobalt Chemical Mechanical Polishing (부식 방지제에 따른 코발트의 화학 기계적 연마 특성 및 표면 분석)

  • Eun Su Jung;Sung Gyu Pyo
    • Journal of the Korean institute of surface engineering
    • /
    • v.57 no.3
    • /
    • pp.140-154
    • /
    • 2024
  • As the trend towards miniaturization in semiconductor integration process, the limitations of interconnection metals such as copper, tungsten have become apparent, prompting research into the emergence of new materials like cobalt and emphasizing the importance of studying the corresponding process conditions. During the chemical mechanical polishing (CMP) process, corrosion inhibitors are added to the slurry, forming passivation layers on the cobalt surface, thereby playing a crucial role in controlling the dissolution rate of the metal surface, enhancing both removal rate and selectivity. This review investigates the understanding of the cobalt polishing process and examines the characteristics and behavior of corrosion inhibitors, a type of slurry additive, on the cobalt surface. Among the corrosion inhibitors examined, benzotriazole (BTA), 1,2,4-triazole (TAZ), and potassium oleate (PO) all improved surface characteristics through their interaction with cobalt. These findings provide important guidelines for selecting corrosion inhibitors to optimize CMP processes for cobalt-based semiconductor materials. Future research should explore combinations of various corrosion inhibitors and the development of new compounds to further enhance the efficiency of semiconductor processes.

Development of System for Measuring Evaporation Rate through Porous Medium in Fuel Cells (연료전지 다공성막을 통한 수분증발량의 정량적 측정에 관한 실험적 연구)

  • Kim, Jong-Rok;Kim, Moo-Hwan;Son, Sang-Young
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.36 no.6
    • /
    • pp.579-582
    • /
    • 2012
  • Removing residual water in a fuel cell is a critical operational process for managing its performance and controlling its lifetime. Understanding the mechanism of water transport in fuel cells is essential for the design of the water removal process. In this study, an experimental method for measuring the water evaporation rate through a gas diffusion layer, which is a porous medium, under steady-state conditions was developed. Experimental bench tests were conducted to apply the developed method. Then, the effects of various parameters of the drying gas and the gas diffusion layer were experimentally measured. The water evaporation rate increased as the humidity of the drying gas decreased and the flow rate of the drying gas increased. In addition, a thinner gas diffusion layer yielded a higher water evaporation rate.