• Title/Summary/Keyword: Korean mask

Search Result 1,934, Processing Time 0.031 seconds

Usefullness of Mask Pack Sheets Including Marine Materials: Skin Regeneration Effect (해양소재를 이용한 마스크팩의 유용성 : 피부재생효과)

  • Park, Dae-Hwan;Park, Sang-Uk;Choi, Sung-Gon
    • Korean Journal of Fisheries and Aquatic Sciences
    • /
    • v.45 no.2
    • /
    • pp.167-172
    • /
    • 2012
  • Minerals from marine materials such as deep ocean water and Dead Sea water have been used since ancient times. We made a mask pack sheet including deep ocean water and salt from the Dead Sea and evaluated the function of the mask pack sheet through animal study. Three full-thickness skin defects were made on the backs of Sprague-Dawley rats. The wounds were left untreated in group Con, and mask pack sheets including deep ocean water or deep ocean water and Dead Sea water were used as treatment for 20 min on the skin of animals in groups DP and DDP, respectively. We analyzed the gross, histological and biochemical findings. Groups DDP and DP showed decreases in wound size, as compared to group Con at 7 days after wound infliction. The histological findings revealed that wound healing had progressed further in groups DP and DDP than in group Con, with more rapid collagen deposition and regression of neutrophils. Also, the expression of vascular endothelial growth factor and transforming growth factor ${\beta}1$ were increased in groups DDP and DP compared with those in group Con at 3 days after wound infliction. Mask sheet packs including deep ocean water and Dead Sea salt affected wound healing by reducing the inflammatory phase and stimulated wound contracture by facilitating the deposition of collagen.

Gingival mask using 3D Printer for a patient with palatally installed implant in maxillary anterior area (구개측으로 식립된 상악 전치부 임플란트 환자에서 3D 프린터를 이용한 Gingival mask 수복 증례)

  • Jeong, Kyong-Sik;Kim, Na-Hong;Kim, Sung-Yong;Lee, Yong-Sang
    • The Journal of Korean Academy of Prosthodontics
    • /
    • v.58 no.4
    • /
    • pp.363-368
    • /
    • 2020
  • The prosthesis of the implant installed in inappropriate positions presents aesthetic and functional problems. If the implants are placed in the wrong position, re-implantation is often limited. There are surgical and non-surgical methods for resolving complications without re-implantation. The surgical costs, healing time, discomfort and unpredictability make this choice unpopular. On the other hand, a gingival mask has the advantage of solving complications quickly and simply. The patient was a 80-year-old male with palatally installed implant in maxillary anterior region and dissatisfied with his unesthetic philtrum and food impaction between the upper lip and the prosthesis. It was difficult to predict the prognosis of surgical operation, and the patient wanted treatment economically and physically burdenless because of his age and financial situation. Thus, the gingival mask was planned and the results were satisfactory.

Sludge Thickening Performance of the Filtration Bio-reactor Equipped with Shadow Mask Filter Module (Shadow mask 여과 모듈을 이용한 슬러지 농축 특성)

  • Jung, Yong-Jun;Kwon, Koo-Ho;Min, Kyung-Sok
    • Journal of Korean Society on Water Environment
    • /
    • v.21 no.1
    • /
    • pp.29-33
    • /
    • 2005
  • In order to recycle the waste material and to develop the thickening unit of waste activated sludge from wastewater treatment facilities, the filtration bio-reactor equipped with a shadow mask filter module was employed for this work from which the operating properties and parameters were drawn. The sludge thickening and filtration unit is made of cylindrical acryl tank(12cm i.d. ${\times}$ 58cm height: working volume of 6L), where the flat-sheet type of shadow mask filter module(pore size: 220~250um, opening area: 34.8~39.6%) was installed and the effluent was withdrawn from the effluent port at the lowest point of the reactor, and the filtration was performed only by the hydraulic pressure. For evaluating the operating performance of this reactor, some parameters such as the solid-liquid separation of different biomass concentrations, the water quality of filtrate, the aeration cleaning time and the cleaning effect were investigated. Depending on the MLSS concentrations, the different time to withdraw 3L of filtrate was required in which the longer filtration time was necessary for the higher MLSS concentrations caused by the thicker formation of cake layer: 40 minutes for 5,000 mg/L, 70 minutes for 10,000 mg/L and 100 minutes for 15,000 mg/L, where the concentrations of SS were 8.9, 6.7 and 6.5 mg/L, respectively. Under the same operating conditions (the intensity of aeration cleaning: 80 L/min, MLSS: 10,000 mg/L), the proper aeration cleaning time was revealed 30 seconds, and the stable formation of cake layer was in the range of 10 to 15 minutes. Therefore, the shadow mask considered as a waste material can be of use as a filter material for the sludge thickening system.

Fit Testing for Domestic N95 Medical Masks (일개 국내산 의료용 N95 마스크의 밀착도 분석)

  • Seo, Hyekyung;Kang, Byoung-kab;Kwon, Young-il
    • Journal of Korean Society of Occupational and Environmental Hygiene
    • /
    • v.30 no.2
    • /
    • pp.124-133
    • /
    • 2020
  • Objectives: Mask fit is a crucial factor in preventing respiratory infections among healthcare workers. The current coronavirus(COVID-19) pandemic calls for the replacement of imported N95 medical masks with domestic N95 versions. In this study, we aimed to determine whether these masks provide proper protection. Methods: Thirty-five participants from three healthcare institutions donned four types of masks and Quantitative Fit Tests(Portacount, USA) were performed. The order of fit test for the four types of masks was randomized, and a three-minute washout period was applied between test times(2 min 29 sec) to reduce potential error stemming from physical exhaustion. Results: There were no significant differences in the Fit Factor for the four types of masks, and there were no gender differences. However, the Fit Factor significantly differed across the three healthcare institutions (p=0.007). With eight of the 35 participants passing, the pass rate with the criteria of 100 or higher was 21%. Conclusions: The mask used in this study was a new domestic N95 medical mask, and the participants were unfamiliar with how to wear it. They reported difficulties with mask fitting. In light of a previous finding that mask fit improved with frequently used masks, wearer preferred masks, or when masks that are regularly worn are used during fit training, the fact that participants were unfamiliar with the mask used in this study is a limitation that should not be overlooked.

In-Situ Dry-cleaning (ISD) Monitoring of Amorphous Carbon Layer (ACL) Coated Chamber

  • Lee, Ho-Jae;Park, George O.;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.183-183
    • /
    • 2012
  • In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of $2000{\AA}$ and $5000{\AA}$. Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process.

  • PDF

Infinitely high selectivity etching of SnO2 binary mask in the new absorber material for EUVL using inductively coupled plasma

  • Lee, S.J.;Jung, C.Y.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.285-285
    • /
    • 2011
  • EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Determination of Heavy metals on the non-woven in wet wipes using ICP-MS

  • Choi, Sung-Min;Song, Jin-Kun;Kim, Sang-Jin
    • Journal of the Korean Applied Science and Technology
    • /
    • v.33 no.1
    • /
    • pp.195-203
    • /
    • 2016
  • Heavy metals have been analyzed on the non-woven from the 24 kinds of wet wipes and 8 kinds of mask packs. The following materials used in the non-woven according to each product are: rayon+polyester for the 12 wet wipe products, rayon+PET for the 5 wet wipe products, and rayon, cotton, rayon+polyester+cotton, pulp+polypropylene for the rest of the wet wipe products. No further information on the materials was found on the 3 wet wipes and 8 mask packs. However, polyester may be applied for the non-woven in wet wipes, because PET is part of the polyester group. The heavy metals analysis in the 24 kinds of wet wipes and 8 kinds of mask packs revealed the following: arsenic was found from $47.14{\pm}1.13$ to $71.75{\pm}1.64{\mu}g/L$ on the 3 products, the amount of nickel in the 2 products were $261.26{\pm}5.14$ and $1,242.63{\pm}43.71{\mu}g/L$, $53.69{\pm}1.45$ and $103.52{\pm}2.02mg/L$ on the 2 mask packs. It was also revealed that lead was detected from $7.23{\pm}0.32$ to $55.67{\pm}1.46{\mu}g/L$ on the 6 wet wipes, antimony was ranged from $187.86{\pm}5.24$ to $19,558.35{\pm}3,537.30{\mu}g/L$ on the 12 wet wipes, and $5.25{\pm}0.25$ and $8,936{\pm}55.22{\mu}g/L$ on the 2 mask packs. No cadmium, mercury, or thallium were detected from all the products. A high concentration of antimony might come from antimony trioxide, which was used as a catalyst when manufacturing the polyester. Therefore, it is strongly recommended that a non-woven used for cosmetic purposes should not use heavy metals as a catalyst when manufacturing, and it's important to clarify which materials are used in non-woven.

Fabrication of Ni Nanodot Structure Using Porous Alumina Mask (다공성 알루미나 마스크를 이용한 니켈 나노점 구조 제작)

  • Lim, Suhwan;Kim, Chul Sung;Kouh, Taejoon
    • Journal of the Korean Magnetics Society
    • /
    • v.23 no.4
    • /
    • pp.126-129
    • /
    • 2013
  • We have fabricated an ordered Ni nanodot structure using an alumina mask prepared via 2-step anodization technique under phosphoric acid. We have formed a porous structure with average pore size of 279 nm on $2{\mu}m$ thick alumina film and the thermal deposition of thin Ni film though the mask led to the formation of ordered Ni nanodot structure with an average dot size of 293 nm, following the pore structure on the mask. We further investigated the magnetic properties of the nanodot structure by measuring the hysteresis curve at room temperature. When compared to the magnetic properties of a continuous Ni film, we observed the decrease in the squareness and the increase in coercivity along the magnetization easy axis, due to the isolated nanodot structure. Our study suggests that the ordered nanodot structure can be easiy fabricated with thin film deposition technique using anodized alumina mask as a mask.

Beauty experts' perception awareness of Korean mask packs (한국 마스크팩에 대한 미용종사자들의 인식 조사)

  • Kwon, Hye-Jin
    • Journal of the Korea Convergence Society
    • /
    • v.10 no.3
    • /
    • pp.243-248
    • /
    • 2019
  • This study was designed to prepare measures for future development and growth of local cosmetics industry by examining awareness, purchasing behaviors and satisfaction of beauty professionals with regard to Korean brand mask packs that have recently created a big trend in the beauty market. According to the results of survey, 80.6 percent of the respondents said that the most important factor in buying a mask pack was 'effect,' and as for the needed improvement of mask packs, 33.7 percent responded 'effect,' indicating that consumers still find the function of a product is unreliable. In addition, the respondents said that 'K-beauty effect' was the reason why mask packs were highly popular abroad, especially in China, and items that can promote beauty market were also said to be 'K-beauty advertising and promotion,' so it is believed that a good impression of K-culture rather than its own efficacy or reliability is leading to the present results. Therefore, K-beauty industry is expected to grow further in the global market if domestic and abroad consumers' confidence on the product is gained through the development of superior products that have improved effect and usability.

Evaluation of skin improvement effect and feeling of use by a hydrogel face mask pack (하이드로겔 팩을 이용한 피부개선 효과 및 사용감 평가)

  • Kwon, Hye-Jin
    • Journal of the Korean Applied Science and Technology
    • /
    • v.37 no.6
    • /
    • pp.1773-1778
    • /
    • 2020
  • This study evaluated the skin improvement effect and feeling of use of a temperaturesensitive hydrogel mask pack containing an hyaluronic acid. Ten women in their 30s to 40s who met the selection criteria were tested. The hydrogel mask pack was applied 3 times a week for 4 weeks, and moisture, oil, and skin tone were measured. As a result, skin moisture content increased by 11.3% after one application and 58.36% after 4 weeks of use, showing a very high rate of moisture increase. The oil content increased by 67% after one use, and after that, an appropriate amount of oil was maintained. Did not increase any more. Skin tone increased after 4 weeks. After 4 weeks, evaluation of application feeling and sensory efficacy obtained 87.6% of positive results. As a result of the above, the temperature-sensitive hydrogel mask pack helps to improve the skin and has an excellent feeling of use.