• 제목/요약/키워드: Hard-Mask

검색결과 69건 처리시간 0.037초

Jean Paul Gaultier 컬렉션에 나타난 헤드드레스의 해체적 특성 (Deconstructive Features of Headdress Found in Jean Paul Gaultier's Collection)

  • 김선영
    • 한국의류학회지
    • /
    • 제36권5호
    • /
    • pp.489-500
    • /
    • 2012
  • This work surveys the trend and dissolution characteristics in headdress that appear in Jean Paul Gaultier's collection. Along with a related literature review, a total of 903 headdress pieces shown in collections 40 times (excluding the common caps and hats) were analyzed, covering from 2001S/S to 2010 F/W of Jean Paul Gaultier's Haute Couture and Pret-a-Porter. The headdress trend indicated in his collections was divided into such subgroup forms of folklore, usage of natural things, usage of artificial things, religion, retro, scarf, variations in headgear, mask and veil, atypical type and abstract. Such an expression tendency was so unique and mixed characteristic that it was hard to define its form and structure thanks to enlargement and exaggeration, extremity in ornaments, and use of foreign materials, which led to creative dynamics. Gaultier's headdress also reflected the following characteristics: first, expression of difference indicative of time deconstruction; second, uncertainty of meanings via deconstruction; third, text interactivity via deconstruction of gender and material adopted; fourth, decentralization through dissolution of the Orient and the Occident.

Dry Etching of Al2O3 Thin Films in O2/BCl3/Ar Inductively Coupled Plasma

  • Yang, Xeng;Woo, Jong-Chang;Um, Doo-Seung;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • 제11권5호
    • /
    • pp.202-205
    • /
    • 2010
  • In this study, the etch properties of $Al_2O_3$ thin films deposited by atomic layer deposition were investigated as a function of the $O_2$ content in $BCl_3$/Ar inductively coupled plasma. The experiments were performed by comparing the etch rates and selectivity of $Al_2O_3$ over the hard mask materials as functions of the input plasma parameters, such as the gas mixing ratio, DC-bias voltage, ratio-frequency (RF) power and process pressure. The highest obtained etch rate was 477 nm/min at an RF power of 700 W, $O_2$ to $BCl_3$/Ar gas ratio of 15%, DC-bias voltage of -100 V and process pressure of 15 mTorr. The deposition occurred on the surfaces when the amount of $O_2$ added to the $BCl_3$/Ar gas was too high at a low DC-bias voltage or high process pressure. X-ray photoelectron spectroscopy was used to investigate the chemical reactions on the etched surface.

Change the Properties of Amorphous Carbon Hardmask Film Prepared with the Variation of Process Parameters in Plasma Enhanced Chemical Vapor Depostion Systems

  • Kim, Seok Hwan;Yeo, Sanghak;Yang, Jaeyoung;Park, Keunoh;Hur, Gieung;Lee, Jaeho;Lee, Jaichan
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.381.2-381.2
    • /
    • 2014
  • In this study the amorphous carbon films were deposited by PECVD at the substrate temperature range of 250 to $600^{\circ}C$, and the process conditions of higher and lower precursor flow rate, respectively. The temperature was a main parameter to control the density and mirco-structures of carbon films, and their's properties depended with the process temperatrue are changed by controlling precursor flow rate. The precursor feeding rate affect on the plasma ion density and a deposition reactivity. This change of film properties was obtained the instrinsic stress, FT-IR & Raman analysis, refractive index (RI) and ext. coef. (k) measured by ellipsometer. In the process conditions of lower and higher flow rate of precursor it had a different intrinsic stress as a function of the substrate temperature.

  • PDF

미세게이트용 폴리실리콘의 쾌속 열처리에 따른 표면조도 변화 (Surface Roughness Evolution of Gate Poly Silicon with Rapid Thermal Annealing)

  • 송오성;김상엽
    • 한국산학기술학회논문지
    • /
    • 제6권3호
    • /
    • pp.261-264
    • /
    • 2005
  • 90nm급 게이트로 활용되는 폴리실리콘을 패턴화 하기 위해서 하드 마스크의 채용 등 신공정과 함께 폴리실리콘 자체의 평탄화가 필요하다. 본 연구는 70nm 두께의 LPCVD 폴리실리콘 게이트를 상정하여 열산화막 상부에 기판 전면을 폴리실리콘으로 만들고 쾌속열처리 온도를 달리해가며 40초가 열처리하여 이때의 표면조도의 변화를 광발산 주사전자현미경(FESEM)과 주사탐침현미경(AFM)으로 확인하였다. 폴리실리콘은 $700^{\circ}C\~1100^{\circ}C$ 온도범위에서 표면 응집효과에 의해 고온에서 표면조도가 급격히 증가하는 경향이 있었으며 $700^{\circ}C$-40sec 조건에서 최적 평탄화 효과가 가능하였다.

  • PDF

Role of gas flow rate during etching of hard-mask layer to extreme ultra-violet resist in dual-frequency capacitively coupled plasmas

  • 권봉수;이정훈;이내응
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2010년도 제39회 하계학술대회 초록집
    • /
    • pp.132-132
    • /
    • 2010
  • In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.

  • PDF

Formation of $Al_O_3$Barrier in Magnetic Junctions on Different Substrates by $O_2$Plasma Etching

  • Wang, Zhen-Jun;Jeong, Won-Cheol;Yoon, Yeo-Geon;Jeong66, Chang-Wook;Joo, Seung-Ki
    • Journal of Magnetics
    • /
    • 제6권3호
    • /
    • pp.90-93
    • /
    • 2001
  • Co/$Al_O_3$/NiFe and CO/$Al_O_3$/Co tunnel junctions were fabricated by a radio frequency magnetron sputtering at room temperature with hard mask on glass and $4^{\circ}$ tilt cut Si (111) substrates. The barrier layer was formed through two steps. After the Al layer was deposited, it was oxidized in the chamber of a reactive ion etching system (RIE) with $O_2$plasma at various conditions. The dependence of the TMR value and junction resistance on the thickness of Al layer (before oxidation) and oxidation parameters were investigated. Magnetoresistance value of 7% at room temperature was obtained by optimizing the Al layer thickness and oxidation conditions. Circular shape junctions on $4^{\circ}$tilt cut Si (111) substrate showed 4% magnetoresistance. Photovoltaic energy conversion effect was observed with the cross-strip geometry junctions on Si substrate.

  • PDF

Ion beam etching of sub-30nm scale Magnetic Tunnel Junction for minimizing sidewall leakage path

  • Kim, Dae-Hong;Kim, Bong-Ho;Chun, Sung-Woo;Kwon, Ji-Hun;Choi, Seon-Jun;Lee, Seung-Beck
    • 한국자기학회:학술대회 개요집
    • /
    • 한국자기학회 2011년도 자성 및 자성재료 국제학술대회
    • /
    • pp.29-30
    • /
    • 2011
  • We have demonstrated the fabrication of sub 30 nm MTJ pillars with PMA characteristics. The multi-step IBE process performed at $45^{\circ}$ and $30^{\circ}$, using NER resulted in almost vertical side profiles. There deposition on the sidewalls of the NER prevented lateral etching of the resist hard mask allowing vertical MTJ side profile formation without any reduction in the lithographically defined resist lateral dimensions. For the 28nm STT-MTJ pillars, the measured TMR ratio was 13 % with resistance of 1 $k{\Omega}$, which was due to remaining redeposition layers less than 0.1 nm thick. With further optimization in multi-step IBE conditions, it will be possible to fabricate fully operating sub 30 nm perpendicular STT-MTJ structures for application to future non-volatile memories.

  • PDF

CoFeB과 IrMn 자성 박막의 고밀도 반응성 이온 식각

  • 김은호;소우빈;공선미;정용우;정지원
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.232-232
    • /
    • 2010
  • 정보화 산업의 발달은 DRAM, flash memory 등을 포함한 기존의 반도체 메모리 소자를 대체할 수 있는 차세대 메모리 소자에 대한 개발을 요구하고 있다. 특히 magnetic random access memory (MRAM)는 SRAM과 대등한 고속화 그리고 DRAM 보다 높은 기록 밀도가 가능하고 낮은 동작 전압과 소비전력 때문에 대표적인 차세대 비휘발성 메모리로 주목받고 있다. 또한 MRAM소자의 고집적화를 위해서 우수한 프로파일을 갖고 재증착이 없는 나노미터 크기의 magnetic tunnel junction (MTJ) stack의 건식 식각에 대한 연구가 선행되어야 한다. 본 연구에서는 고밀도 반응성 이온 식각법(Inductively coupled plasma reactive ion etching; ICPRIE)을 이용하여 재증착이 없이 우수한 식각 profile을 갖는 CoFeB과 IrMn 박막을 형성하고자 하였다. Photoresist(PR) 및 Ti 박막의 두 가지 마스크를 이용하여 HBr/Ar, HBr/$O_2$/Ar 식각 가스들의 농도를 변화시키면서 CoFeB과 IrMn 박막의 식각 특성들이 조사되었다. 자성 박막과 동일한 조건에 대하여 hard mask로서 Ti가 식각되었다. 좋은 조건을 얻기 위해 HBr/Ar 식각 가스를 이용 식각할 때 pressure, bias voltage, rf power를 변화시켰고 식각조건에서 Ti 하드마스크에 대한 자성 박막들의 selectivity를 조사하고 식각 profile을 관찰하였다. 식각 속도를 구하기 위해 alpha step(Tencor P-1)이 사용되었고 또한 field emission scanning electron microscopy(FESEM)를 이용하여 식각 profile을 관찰함으로써 최적의 식각 가스와 식각 조건을 찾고자 하였다.

  • PDF

PI-VM을 이용한 용량 결합 Ar/SF6/O2 플라즈마에서의 전력 인가 에지 링 식각 특성 조사 (Investigation of Etching Characteristics for Powered Edge-Ring Utilizing PI-VM in Capacitively Coupled Argon/SF6/O2 Plasma)

  • 이현주;송재민;박태준;김남균;김곤호
    • 반도체디스플레이기술학회지
    • /
    • 제22권4호
    • /
    • pp.7-12
    • /
    • 2023
  • The edge ring placed on the outside of the electrostatic chuck (ESC) is a key component for protecting the ESC and controlling the etching uniformity of the edge of the wafer. Therefore, it is very important to understand the etching phenomenon of edge rings for edge ring management and equipment homeostasis. In this study, a specimen with SiO2 hard mask and underlying Si mold was installed on the edge ring surface and the etching results were measured by varying the edge ring 2MHz RF power. By developing PI-VM model with high prediction accuracy and analyzing the roles of key parameters in the model, we were able to evaluate the effect of plasma and sheath characteristics around the edge ring on edge ring erosion. This analysis method provided information necessary for edge ring maintenance and operation.

  • PDF

TFT-LCD 패널 검사를 위한 지역적 분별에 기반한 결함 영역 분할 알고리즘 (Segmentation of Defective Regions based on Logical Discernment and Multiple Windows for Inspection of TFT-LCD Panels)

  • 정건희;정창도;윤병주;이준재;박길흠
    • 한국멀티미디어학회논문지
    • /
    • 제15권2호
    • /
    • pp.204-214
    • /
    • 2012
  • 본 논문은 비전장비의 결함 검사 시스템을 위한 불균일한 휘도분포를 가지는 TFT-LCD 영상에서 결함 영역을 분할하는 방법을 다룬다. 불균일한 휘도분포 때문에 결함의 영역을 찾기 어려우며 이를 위해 많은 방법들이 제안되었다. Kamel과 Zhoa는 문자 및 그래픽의 분할을 위해 논리적 단계화 방법을 제안하였고, 이 방법은 공간상에서 수행되어지는 지역적 분할 방법으로 불균일한 분포 상에서도 문자가 잘 분할되는 장점이 있다. TFT-LCD의 저해상도 영상도 배경의 분포가 불균일하여 본 논문에서는 Kamel과 Zhoa의 방법을 답습하여 새로운 결함 영역 분할 방법을 제안한다. 제안한 방법은 결함주위에 발생하는 과검출(Ghost object)이 적은 장점이 있으며 제안 방법의 성능을 증명하기위해 실제 결함이 존재하는 TFT-LCD 영상을 이용하여 실험하고, 주파수상에서 많이 사용되는 FFT의 밴드패스 필터를 이용한 분할 방법과 비교하였다.