• 제목/요약/키워드: HFNS

검색결과 1건 처리시간 0.018초

효율적인 ASIC구현을 위한 버퍼 삽입 방식의 HFNS (Buffer Insertion-based HFNS for Efficient ASIC Implementation)

  • 장석우;김동욱;서영호
    • 한국정보통신학회논문지
    • /
    • 제18권2호
    • /
    • pp.415-424
    • /
    • 2014
  • 본 논문에서는 HFNS(high fanout net synthesis) 기법들 중에서 실제 현장에서는 주로 사용되고 있는 버퍼 삽입 방법을 이용한 기법에 대해서 제안하였다. 먼저 HFNS를 수행하는데 있어서 고려해야할 사항들과 HFNS수행 방법들에 대해서 세부적인 기술들을 제안하였고, HFNS 수행 이후의 후처리 과정에서 수행해야할 기법들에 대해서도 제안하였다. 버퍼 삽입 기반의 HFNS는 이미 널리 사용되는 방법인데 본 논문은 주로 ASIC 및 SoC 상용 작업 현장에서 사용될 수 있는 실전적인 기법들을 대상으로 하였다.