Browse > Article
http://dx.doi.org/10.6109/jkiice.2014.18.2.415

Buffer Insertion-based HFNS for Efficient ASIC Implementation  

Jang, Seok Woo (Department of Electronic Materials Engineering, Anyang University)
Kim, Dong-Wook (Department of Electronic Materials Engineering, Kwangwoon University)
Seo, Young-Ho (College of Liberal Arts, Kwangwoon University)
Abstract
In this paper, we proposed a practical methodology of HFNS (high fanout net synthesis) to use buffer insertion which has been applied in the fields. First, we proposed consideration to execute HFNS and detail techniques for it. Next we proposed post-process method which is necessary to obtain success of HFNS. The buffer-insertion based method for HFNS is a kind of popular technique, but we targeted a practical and commercial aspect of HHNS.
Keywords
HFNS; buffer insertion; fanout; layout; ASIC;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Conistis, Furtner, & Islam, "Static Timing Analysis in Design Compiler and PrimeTime"; BSNUG 2000 Tutorial.
2 J. Minz, X. Zhao, and S. K. Lim. buffered clock tree synthesis for 3d ics under thermal variations. In Proc. Asia and South Pacific Design Automation Conf., Jan 2008.
3 T.-Y. Kim and T. Kim. Clock tree embedding for 3d ics. In Proc. Asia and South Pacific Design Automation Conf., Jan 2010.
4 X. Zhao, D. Lewis, H.-H. S. Lee, and S. K. Lim. Pre-bond Testable Low-Power Clock Tree Design for 3D Stacked ICs. In Proc. Int. Conf. on Computer Aided Design, Nov 2009.
5 F. Liu. A General Framwwork for Spatial Correlation Modeling in VLSI Design. In Proc. Design Automation Conf., Jun 2007.
6 Design Compiler User's Guide.
7 John Hennessy and David Patterson, Computer Architecture : A Quantitative Approach.
8 Synthesis-749.html, "Preventing Buffers on RESET Line", Solv-Net Article.
9 Synthesis-835.html, "Reducing Runtime Impact of Highfanout Nets in DesignCompiler", Solv-Net Article
10 Synthesis-780.html; "Commands for high fanout nets", Solv-Net Article.
11 Rick Furtner, "High Fanout Without High Stress: Synthesis and Optimization of High-fanout Nets Using Design Compiler," SNUG Boston 2001, 2000.11.
12 Teng, Siong Kiong, Chye, Chuan Ning, Lim, Mui Liang, Yeap, Cheong Siak, "Advanced Clock Tree Design Implementation Using IC-Compiler CTS Tool," SNUG Singapore 2009.