• Title/Summary/Keyword: Etch characteristic

Search Result 40, Processing Time 0.03 seconds

Selective etching of SiO2 using embedded RF pulsing in a dual-frequency capacitively coupled plasma system

  • Yeom, Won-Gyun;Jeon, Min-Hwan;Kim, Gyeong-Nam;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2015.08a
    • /
    • pp.136.2-136.2
    • /
    • 2015
  • 반도체 제조는 chip의 성능 향상 및 단가 하락을 위해 지속적으로 pattern size가 nano size로 감소해 왔고, capacitor 용량은 증가해 왔다. 이러한 현상은 contact hole의 aspect ratio를 지속적으로 증가시킨바, 그에 따라 최적의 HARC (high aspect ratio contact)을 확보하는 적합한 dry etch process가 필수적이다. 그러나 HARC dry etch process는 많은 critical plasma properties 에 의존하는 매우 복잡한 공정이다. 따라서, critical plasma properties를 적절히 조절하여 higher aspect ratio, higher etch selectivity, tighter critical dimension control, lower P2ID과 같은 plasma characteristics을 확보하는 것이 요구된다. 현재 critical plasma properties를 제어하기 위해 다양한 plasma etching 방법이 연구 되어왔다. 이 중 plasma를 낮은 kHz의 frequency에서 on/off 하는 pulsed plasma etching technique은 nanoscale semiconductor material의 etch 특성을 효과적으로 향상 시킬 수 있다. 따라서 본 실험에서는 dual-frequency capacitive coupled plasma (DF-CCP)을 사용하여 plasma operation 동안 duty ratio와 pulse frequency와 같은 pulse parameters를 적용하여 plasma의 특성을 각각 제어함으로써 etch selectivity와 uniformity를 향상 시키고자 하였다. Selective SiO2 contact etching을 위해 top electrode에는 60 MHz pulsed RF source power를, bottom electrode에는 2MHz pulse plasma를 인가하여 synchronously pulsed dual-frequency capacitive coupled plasma (DF-CCP)에서의 plasma 특성과 dual pulsed plasma의 sync. pulsing duty ratio의 영향에 따른 etching 특성 등을 연구 진행하였다. 또한 emissive probe를 통해 전자온도, OES를 통한 radical 분석으로 critical Plasma properties를 분석하였고 SEM을 통한 etch 특성분석과 XPS를 통한 표면분석도 함께 진행하였다. 그 결과 60%의 source duty percentage와 50%의 bias duty percentage에서 가장 향상된 etch 특성을 얻을 수 있었다.

  • PDF

$N_2$ Gas roles on Pt thin film etching using Ar/$C1_2/N_2$ Plasma (Ar/$C1_2/N_2$플라즈마를 이용한 Pt 박막 식각에서 $N_2$ Gas의 역할)

  • 류재홍;김남훈;이원재;유병곤;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1999.11a
    • /
    • pp.468-470
    • /
    • 1999
  • One of the most critical problem in etching of platinum was generally known that the etch slope was gradual. therefore, the addition of $N_2$ gas into the Ar/C1$_2$ gas mixture, which has been proposed the optimized etching gas combination for etching of platinum in our previous article, was performed. The selectivity of platinum film to oxide film as an etch mask increased with the addition of N2 gas, and the steeper etch slope over 75 $^{\circ}$ could be obtained. These phenomena were interpreted the results the results of a blocking layer such as Si-N or Si-O-N on the oxide mask. Compostional analysis was carried out by X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS). Moreover, it could be obtained the higher etch rate of Pt film and steeper profile without residues such as p.-Cl and Pt-Pt ant the addition N\ulcorner of 20 % gas in Ar(90)/Cl$_2$(10) Plasma. The Plasma characteristic was extracted from optical emissionspectroscopy (OES).

  • PDF

A Fabrication and Characteristic Estimation of Polycrystalline Silicon Structural Layer for Micromachining (미세가공용 다결정 실리콘 구조체의 제작 및 특성 평가)

  • Kim, Hyoung-Dong;Pack, Seung-Ho;Lee, Seong-Jun;Kim, Chul-Ju
    • Proceedings of the KIEE Conference
    • /
    • 1995.07c
    • /
    • pp.1442-1444
    • /
    • 1995
  • In this study, we confirmed that the crystallinity and the mechanical properties of polycrystalline Silicon(poly-Si) deposited on the poly-oxide are better than those of poly-Si on the conventional sacrificial layers that is CVD oxide layer or PSG. But the etch rate of poly-oxide is poor than that of the CVD oxide layer or PSG. Therefore, to make the best use of small stress and fast etch rate, we fabricated the double oxide layer; 10%-thick poly-oxide on 90%-thick CVD oxide or PSG. To estimate structure deformation by stress, we fabricated the test structures; cantilever. bridge and ring/beam structure and estimated by SEM. As the results, all structure is expressed the deformed structure by residual stress(tensile stress) and the deformation of the structure layer on the double oxide layer is small compared with that of the structure layer on the CVD oxide layer or PSG. And, the etch rate of the double oxide layer is enhanced compared with that of the poly-oxide.

  • PDF

The Study of Etching Characteristic in $SrBi_2$$Ta_2$$O_9$ Thin Film by Optical Emission Spectroscopy (OES를 이용한 SBT 박막의 식각 특성 연구)

  • 신성욱;김창일;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.3
    • /
    • pp.185-189
    • /
    • 2001
  • In this paper, since the research on the etching of SrBi$_2$Ta$_2$$O_{9}$(SBT) thin film was few (specially Cl$_2$-base) we had studied the surface reaction of SBT thin films. We have used the OES(optical emission spectroscopy) in high density plasma etching as a function of RF power, dc bias voltage, and Cl$_2$/(Cl$_2$+Ar) gas mixing ratio. It had been found that the etch rate of SBT thin films appeared to be more affected by the physical sputtering between Ar ions and surface of the SBT compared to the chemical reaction. The change of Cl radical density that was measured by the OES as a function of gas combination showed the change of the etch rate of SBT thin films. Therefore, the chemical reactions between Cl radical in plasma and components of the SBT enhanced to increase the etch rates SBT thin films. These results were confirmed by XPS(x-ray photoelectron spectroscopy) analysis.s.

  • PDF

GaN Dry Etching Characteristics using a planar Inductively coupled plasma (평판형 유도 결합 플라즈마틀 이용한 GaN 건식 식각 특성)

  • Kim, Moon-Young;Kim, Tae-Hyun;Jang, Sang-Hun;Tae, Heung-Sik
    • Proceedings of the KIEE Conference
    • /
    • 1997.11a
    • /
    • pp.276-278
    • /
    • 1997
  • The reliable etching process is one of the essential steps in fabricating GaN based-device. High etch rate is needed to obtain a deeply etched structure and perfect anisotropic etched facet is needed to obtain lasing profile. In the research, therefore, we had proposed a planar inductively coupled plasma etcher (Planar ICP Etcher) as a high density plasma source, and studied the etching mechanism using the $CH_4/H_2$/Ar gas mixture. Dry etching characteristics such as etch rate, anisotropic etching profile and so on, for the III-V nitride layers were investigated using Planar ICP Etcher, based on the plasma characteristic as a variation of plasma process parameters.

  • PDF

Anisotropic etching of polysilicon in a $Cl_2/CH_3Br/O_2$ Plasma

  • Yi, Whi-Kun
    • Journal of Korean Vacuum Science & Technology
    • /
    • v.3 no.1
    • /
    • pp.24-29
    • /
    • 1999
  • The characteristic behaviors of CH3Br were examined first for the dry etching of polysilicon in a Cl2/CH3Br/O2 plasma. CH3Br is revealed one of the excellent additive gases to control anisotropy of etching profile and to give no undercutting for various typed of polysilicons. CH3Br acts as a passivation precursor on the side wall in etch cavity by forming polymer-like films such as CHxBry(x+y=1,2). The decrease of etch selectivity due to the reaction if the C-containing species from CH3Br with the surface O atoms of SiO2 was overcome by the addition of O2 into plasma, resulting that the selectivity increased by 2~3 times. According to the results of optical emission signals, CH3Br should be dissociated into several fragments to give more hydrogen atoms than bromine atoms in our helical resonator system.

  • PDF

Dry Etching of $Al_2O_3$ Thin Film in Inductively Coupled Plasma

  • Xue, Yang;Um, Doo-Seung;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.67-67
    • /
    • 2009
  • Due to the scaling down of the dielectrics thickness, the leakage currents arising from electron tunneling through the dielectrics has become the major technical barrier. Thus, much works has focused on the development of high k dielectrics in both cases of memories and CMOS fields. Among the high-k materials, $Al_2O_3$ considered as good candidate has been attracting much attentions, which own some good properties as high dielectric constant k value (~9), a high bandgap (~2eV) and elevated crystallization temperature, etc. Due to the easy control of ion energy and flux, low ownership and simple structure of the inductively coupled plasma (ICP), we chose it for high-density plasma in our study. And the $BCl_3$ was included in the gas due to the effective extraction of oxygen in the form of BClxOy compound. In this study, the etch characteristic of ALD deposited $Al_2O_3$ thin film was investigated in $BCl_3/N_2$ plasma. The experiment were performed by comparing etch rates and selectivity of $Al_2O_3$ over $SiO_2$ as functions of the input plasma parameters such as gas mixing ratio, DC-bias voltage and RF power and process pressure. The maximum etch rate was obtained under 15 mTorr process perssure, 700 W RF power, $BCl_3$(6 sccm)/$N_2$(14 sccm) plasma, and the highest etch selectivity was 1.9. We used the x-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. The Auger electron spectroscopy (AES) was used for elemental analysis of etched surface.

  • PDF

Etching characteristic of SBT thin film by using Ar/$CHF_3$ Plasma (Ar/$CHF_3$ 플라즈마를 이용한 SBT 박막에 대한 식각특성 연구)

  • 서정우;이원재;유병곤;장의구;김창일
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1999.11a
    • /
    • pp.41-43
    • /
    • 1999
  • Among the feffoelectric thin films that have been widely investigated for ferroelectric random access memory (FRAM) applications, SrBi$_2$Ta$_2$$O_{9}$ thin film is appropriate to memory capacitor materials for its excellent fatigue endurance. However, very few studies on etch properties of SBT thin film have been reported although dry etching is an area that demands a great deal of attention in the very large scale integrations. In this study, the a SrBi$_2$Ta$_2$$O_{9}$ thin films were etched by using magnetically enhanced inductively coupled Ar/CHF$_3$ plasma. Etch properties, such as etch rate, selectivity, and etched profile, were measured according to gas mixing ratio of CHF$_3$(Ar$_{7}$+CHF$_3$) and the other process conditions were fixed at RF power of 600 W, dc bias voltage of 150 V, chamber pressure of 10 mTorr. Maximum etch rate of SBT thin films was 1750 A77in, under CHF$_3$(Ar+CHF$_3$) of 0.1. The selectivities of SBT to Pt and PR were 1.35 and 0.94 respectively. The chemical reaction of etched surface were investigated by X-ray photoelectron spectroscopy (XPS) analysis. The Sr and Ta atoms of SBT film react with fluorine and then Sr-F and Ta-F were removed by the physical sputtering of Ar ion. The surface of etched SBT film with CHF$_3$(Ar+CHF$_3$) of 0.1 was analyzed by secondary ion mass spectrometer (SIMS). Scanning electron microscopy (SEM) was used for examination of etched profile of SBT film under CHF$_3$(Ar+CHF$_3$) of 0.1 was about 85˚.85˚.˚.

  • PDF

A Study on the Color Prediction of Halftone Multi-Color Image (망점 다색 화상의 색예측에 관한 연구)

  • 안석출
    • Journal of the Korean Graphic Arts Communication Society
    • /
    • v.11 no.1
    • /
    • pp.85-101
    • /
    • 1993
  • Poly(vinyl cinnamate) had excellent resolution and no dark reaction and high etch resistent. But is was developed in polar solvent. A aqueous-photopolymer was synthesised for improvment of developability. Poly(vinyl cinnamoyl acetate)(PVCiA) and aqueous-PVCiA(PVCiA-s) was prepared and was characterized with H-NMR. The characteristic of sensitivity and developablity of coating was investigated by Grayscale method.

  • PDF

Study of dry etch characteristic of TiN thin film for metal gate electrode in MIM capacitor (MIM 커패시터의 Metal 게이트 전극을 위한 TiN 박막의 건식 식각 연구)

  • Park, Jeong-Su;Ju, Yeong-Hui;Woo, Jong-Chang;Heo, Gyeong-Mu;Wi, Jae-Hyeong;Kim, Chang-Il
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.10a
    • /
    • pp.219-220
    • /
    • 2009
  • 이번 실험에서는 TiN의 건식 식각 특성을 연구하기 위해 $BCl_3/Ar/N_2$ 유도 결합플라즈마를 이용하였다. BCl3와 Ar의 가스 비율이 $BCl_3$ (5 sccm)/Ar (15 sccm)/N (4 sccm) 인 상황에서 RF power와 DC bias, 그리고 process pressure을 식각변수로 설정하였다. TiN의 식각률은 Alpha-step 500으로 측정하였고 표면의 식각 후 화학반응은 XPS로 측정하였다.

  • PDF