• 제목/요약/키워드: End-Point Detection

검색결과 161건 처리시간 0.032초

엔트로피와 하모닉 검출을 이용한 잡음환경에 강인한 음성검출 (Robust Voice Activity Detection in Noisy Environment Using Entropy and Harmonics Detection)

  • 최갑근;김순협
    • 대한전자공학회논문지SP
    • /
    • 제47권1호
    • /
    • pp.169-174
    • /
    • 2010
  • 이 논문은 잡음환경에서 음성인식률 향상을 위한 끝점 검출 방법에 대해 소개한다. 제안된 방법은 엔트로피와 음성의 하모닉 검출을 이용해 음성 구간과 비음성 구간을 검출한다. 음성의 스펙트럴 에너지에 대한 엔트로피를 사용하여 끝점검출을 하게 되면 비교적 높은 SNR 환경(SNR 15dB)에서는 성능이 우수하나 잡음환경의 변화에 따라 음성과 비음성의 문턱값이 변화 하여 낮은 SNR환경(SNR 0dB)에서는 정확한 끝점 검출이 어렵다. 본 논문은 낮은 SNR 환경(0dB)에서도 정확한 끝점을 검출할 수 있도록 음성의 스펙트럴 엔트로피와 하모닉 성분을 검출하여 끝점을 검출하는 방법을 제안한다. 실험결과 기존의 엔트로피만을 이용한 방법보다 개선된 성능을 보였다.

플라즈마 식각공정에서의 EPD(End Point Detection) 제어기에 관한 연구 (A study on EPD(End Point Detection) controller on plasma teaching process)

  • 최순혁;차상엽;이종민;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 1996년도 한국자동제어학술회의논문집(국내학술편); 포항공과대학교, 포항; 24-26 Oct. 1996
    • /
    • pp.415-418
    • /
    • 1996
  • Etching Process, one of the most important process in semiconductor fabrication, has input control part of which components are pressure, gas flow, RF power and etc., and plasma gas which is complex and not exactly understood is used to etch wafer in etching chamber. So this process has not real-time feedback controller based on input-output relation, then it uses EPD(End Point Detection) signal to determine when to start or when to stop etching. Various type EPD controller control etching process using EPD signal obtained from optical intensity of etching chamber. In development EPD controller we concentrate on compensation of this signal intensity and setting the relative signal magnitude at first of etching. We compensate signal intensity using neural network learning method and set the relative signal magnitude using fuzzy inference method. Potential of this method which improves EPD system capability is proved by experiences.

  • PDF

전류변성기의 포화 검출을 위한 알고리즘 개발 (Method for Detection of Saturation of a Current Transformer)

  • 남순열;최준호;강상희;민상원
    • 전기학회논문지
    • /
    • 제58권5호
    • /
    • pp.879-884
    • /
    • 2009
  • A Method for detection of saturation of a current transformer(CT) is proposed. The algorithm is initiated when the end point of a saturation period is detected. This detection is achieved by checking the time interval between the adjacent zero-crossing points of the second derivative of the secondary current. Once the end point of the saturation period is detected, the beginning point of the corresponding saturation period is determined by backward examination of the sum of the secondary current from the end point. The performance of the algorithm was evaluated for a-g faults on a 345 kV 100km overhead transmission line. The Electromagnetic Transient Program(EMTP) was used to generate fault current signals for different fault inception angles and different remanent fluxes. The performance evaluation shows that the proposed algorithm successfully detects the saturation period even in the presence of a remanent flux.

Signal Analysis of Motor Current for End Point Detection in the Chemical Mechanical Polishing of Shallow Trench Isolation with Reverse Moat Structure

  • Park, Chang-Jun;Kim, Sang-Yong;Seo, Yong-Jin
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • 제2C권5호
    • /
    • pp.262-267
    • /
    • 2002
  • In this paper, we first studied the factors affecting the motor current (MC) signal, which was strongly affected by the systematic hardware noises depending on polishing such as pad conditioning and arm oscillation of platen and recipe, head motor. Next, we studied the end point detection (EPD) for the chemical mechanical polishing (CMP) process of shallow trench isolation (STI) with reverse moat structure. The MC signal showed a high amplitude peak in the fore part caused by the reverse meal. pattern. We also found that the EP could not be detected properly and reproducibly due to the pad conditioning effect, especially when conventional low selectivity slurry was used. Even when there was no pad conditioning effect, the EPD method could not be applied, since the measured end points were always the same due to the characteristics of the reverse moat structure with an open nitride layer.

신경망을 이용한 실시간 가속도 신호 끝점 검출 방법 (Neural Network-based Real-time End Point Detection Specialized for Accelerometer Signal)

  • 임종관;권동수
    • 한국HCI학회:학술대회논문집
    • /
    • 한국HCI학회 2009년도 학술대회
    • /
    • pp.178-185
    • /
    • 2009
  • 가속도계 신호를 대상으로 패턴 인식을 행하는 연구에서 공통적으로 사용될 수 있는 끝점 검출 방법을 제안한다. 기존의 연구 결과물은 추가적인 단추 등을 부착하여 수동으로 구분하거나, 고성능 고주파 대역 필터 등의 사용으로 알고리즘 상에서 필히 시간 지연이 발생하며 또한 알고리즘 구현상 여러 매개 변수 및 이를 위한 문턱값이 존재하였다. 본 논문에서는 가속도의 일계도 미분의 시퀀스를 입력 벡터로 사용하여, 시계열 데이터 예측과 유사한 형태로 focused Time Lagged Feedforward Network(TLFN)을 설계, 이를 학습시키는 방법을 제안 하였다. 제안한 방법을 글자 궤적에 대해 적용하여 신뢰도 있는 끝점 검출 성능과 실시간 응답 특성을 확인하였다.

  • PDF

PECVD Chamber Cleaning End Point Detection (EPD) Using Optical Emission Spectroscopy Data

  • Lee, Ho Jae;Seo, Dongsun;Hong, Sang Jeen;May, Gary S.
    • Transactions on Electrical and Electronic Materials
    • /
    • 제14권5호
    • /
    • pp.254-257
    • /
    • 2013
  • In-situ optical emission spectroscopy (OES) is employed for PECVD chamber monitoring. OES is used as an addon sensor to monitoring and cleaning end point detection (EPD). On monitoring plasma chemistry using OES, the process gas and by-product gas are simultaneously monitored. Principal component analysis (PCA) enhances the capability of end point detection using OES data. Through chamber cleaning monitoring using OES, cleaning time is reduced by 53%, in general. Therefore, the gas usage of fluorine is also reduced, so satisfying Green Fab challenge in semiconductor manufacturing.

음성인식 로봇을 위한 동시통화검출 기반의 강인한 음성 끝점 검출 (Robust End Point Detection for Robot Speech Recognition Using Double Talk Detection)

  • 문성규;박진수;고한석
    • 한국음향학회지
    • /
    • 제31권3호
    • /
    • pp.161-169
    • /
    • 2012
  • 본 논문에서는 반향이 큰 로봇 환경에 강인한 음성 끝점 검출 방법을 제안한다. 양방향 대화 로봇과 같이 반향대 신호 비가 -5 dB 이하인 반향환경에서는, 반향제거기의 성능이 저하되어 사용자 음성 에너지와 비슷한 크기의 에너지를 갖는 잔여반향이 생긴다. 잡음에 강인한 기존의 음성 끝점검출 방법이라도, 사용자 음성과 비슷한 수준의 에너지를 갖는 잔여반향은 음성으로 오검출하기 때문에 정확한 음성 끝점검출이 어렵다. 반향 환경에 강인한 끝점검출을 위해, 본 논문에서는 음성/반향 구간 판별에 좋은 성능을 보이는 동시통화검출의 결과를 기존의 음성끝점검출 방법과 AND 연산하여 음성끝점검출기를 구성하였다. 제안하는 방법의 평가를 위해 반향이 큰 환경에서 고립단어 인식을 실험하였고, 다양한 실험환경에서 기존 음성 끝점검출 방법보다 평균 30 % 이상의 인식 성능 향상을 확인할 수 있었다.

P Wave Detection based on QRST Cancellation Zero-One Substitution

  • Cho, Ik-Sung
    • Journal of information and communication convergence engineering
    • /
    • 제19권2호
    • /
    • pp.93-101
    • /
    • 2021
  • Cardiac arrhythmias are common heart diseases and generally cause sudden cardiac death. Electrocardiogram (ECG) is an effective tool that can reveal the electrical activity of the heart and diagnose cardiac arrhythmias. We propose detection of P waves based on QRST cancellation zero-one substitution. After preprocessing, the QRST segment is determined by detecting the Q wave start point and T wave end point separately. The Q wave start point is detected by digital analyses of the QRS complex width, and the T wave end point is detected by computation of an indicator related to the area covered by the T wave curve. Then, we determine whether the sampled value of the signal is in the interval of the QRST segment and substitute zero or one for the value to cancel the QRST segment. Finally, the maximum amplitude is selected as the peak of the P wave in each RR interval of the residual signal. The average detection rate for the QT database was 97.67%.

STI-CMP 공정 적용을 위한 연마 정지점 고찰 (A Study of End Point Detection Measurement for STI-CMP Applications)

  • 김상용;서용진
    • 한국전기전자재료학회논문지
    • /
    • 제14권3호
    • /
    • pp.175-184
    • /
    • 2001
  • In this study, the improved throughput and stability in device fabrication could be obtained by applying CMP process to STi structue in 0.18 um semiconductor device. To employ the CMP process in STI structure, the Reverse Moat Process used to be added after STI Fill, as a result, the process became more complex and the defect were seriously increased than they had been,. Removal rate of each thin film in STI CMP was not uniform, so, the device must have been affected. That is, in case of excessive CMP, the damage on the active area was occurred, and in the case of insufficient CMP nitride remaining was happened on that area. Both of them deteriorated device characteristics. As a solution to these problems, the development of slurry having high removal rate and high oxide to nitride selectivity has been studied. The process using this slurry afford low defect levels, improved yield, and a simplified process flow. In this study, we evaluated the 'High Selectivity Slurry' to do a global planarization without reverse moat step, and also we evaluated EPD(Eend Point Detection) system with which 'in-situ end point detection' is possible.

  • PDF

HSS을 적용한 STI CMP 공정에서 EPD 특성 (A study of EPD for Shallow Trench Isolation CMP by HSS Application)

  • 김상용;김용식
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2000년도 춘계학술대회 논문집 전자세라믹스 센서 및 박막재료 반도체재료 일렉트렛트 및 응용기술
    • /
    • pp.35-38
    • /
    • 2000
  • In this study, the rise throughput and the stability in fabrication of device can be obtained by applying of CMP process to STI structure in 0.l8um semiconductor device. Through reverse moat pattern process, reduced moat density at high moat density, STI CMP process with low selectivity could be to fit polish uniformity between low moat density and high moat density. Because this reason, in-situ motor current end point detection method is not fit to the current EPD technology with the reverse moat pattern. But we use HSS without reverse moat pattern on STI CMP and take end point current sensing signal.[1] To analyze sensing signal and test extracted signal, we can to adjust wafer difference within $110{\AA}$.

  • PDF