• Title/Summary/Keyword: End-Point Detection

Search Result 161, Processing Time 0.028 seconds

Robust Voice Activity Detection in Noisy Environment Using Entropy and Harmonics Detection (엔트로피와 하모닉 검출을 이용한 잡음환경에 강인한 음성검출)

  • Choi, Gab-Keun;Kim, Soon-Hyob
    • Journal of the Institute of Electronics Engineers of Korea SP
    • /
    • v.47 no.1
    • /
    • pp.169-174
    • /
    • 2010
  • This paper explains end-point detection method for better speech recognition rates. The proposed method determines speech and non-speech region with the entropy and the harmonic detection of speech. The end-point detection using entropy on the speech spectral energy has good performance at the high SNR(SNR 15dB) environments. At the low SNR environment(SNR 0dB), however, the threshold level of speech and noise varies, so the precise end-point detection is difficult. Therefore, this paper introduces the end-point detection methods which uses speech spectral entropy and harmonics. Experiment shows better performance than the conventional entropy methods.

A study on EPD(End Point Detection) controller on plasma teaching process (플라즈마 식각공정에서의 EPD(End Point Detection) 제어기에 관한 연구)

  • 최순혁;차상엽;이종민;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 1996.10b
    • /
    • pp.415-418
    • /
    • 1996
  • Etching Process, one of the most important process in semiconductor fabrication, has input control part of which components are pressure, gas flow, RF power and etc., and plasma gas which is complex and not exactly understood is used to etch wafer in etching chamber. So this process has not real-time feedback controller based on input-output relation, then it uses EPD(End Point Detection) signal to determine when to start or when to stop etching. Various type EPD controller control etching process using EPD signal obtained from optical intensity of etching chamber. In development EPD controller we concentrate on compensation of this signal intensity and setting the relative signal magnitude at first of etching. We compensate signal intensity using neural network learning method and set the relative signal magnitude using fuzzy inference method. Potential of this method which improves EPD system capability is proved by experiences.

  • PDF

Method for Detection of Saturation of a Current Transformer (전류변성기의 포화 검출을 위한 알고리즘 개발)

  • Nam, Soon-Ryul;Choi, Joon-Ho;Kang, Sang-Hee;Min, Sang-Won
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.58 no.5
    • /
    • pp.879-884
    • /
    • 2009
  • A Method for detection of saturation of a current transformer(CT) is proposed. The algorithm is initiated when the end point of a saturation period is detected. This detection is achieved by checking the time interval between the adjacent zero-crossing points of the second derivative of the secondary current. Once the end point of the saturation period is detected, the beginning point of the corresponding saturation period is determined by backward examination of the sum of the secondary current from the end point. The performance of the algorithm was evaluated for a-g faults on a 345 kV 100km overhead transmission line. The Electromagnetic Transient Program(EMTP) was used to generate fault current signals for different fault inception angles and different remanent fluxes. The performance evaluation shows that the proposed algorithm successfully detects the saturation period even in the presence of a remanent flux.

Signal Analysis of Motor Current for End Point Detection in the Chemical Mechanical Polishing of Shallow Trench Isolation with Reverse Moat Structure

  • Park, Chang-Jun;Kim, Sang-Yong;Seo, Yong-Jin
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • v.2C no.5
    • /
    • pp.262-267
    • /
    • 2002
  • In this paper, we first studied the factors affecting the motor current (MC) signal, which was strongly affected by the systematic hardware noises depending on polishing such as pad conditioning and arm oscillation of platen and recipe, head motor. Next, we studied the end point detection (EPD) for the chemical mechanical polishing (CMP) process of shallow trench isolation (STI) with reverse moat structure. The MC signal showed a high amplitude peak in the fore part caused by the reverse meal. pattern. We also found that the EP could not be detected properly and reproducibly due to the pad conditioning effect, especially when conventional low selectivity slurry was used. Even when there was no pad conditioning effect, the EPD method could not be applied, since the measured end points were always the same due to the characteristics of the reverse moat structure with an open nitride layer.

Neural Network-based Real-time End Point Detection Specialized for Accelerometer Signal (신경망을 이용한 실시간 가속도 신호 끝점 검출 방법)

  • Lim, Jong-Gwan;Kwon, Dong-Soo
    • 한국HCI학회:학술대회논문집
    • /
    • 2009.02a
    • /
    • pp.178-185
    • /
    • 2009
  • A signal processing algorithm is proposed for end point detection which is used commonly in accelerometers-based pattern recognition problem. In the conventional method, end points are detected by manual manipulation with an additive button or algorithm based on statistical computation and highpass filtering to cause critical time delay and difficulty for parameters optimization. As an solution, we propose a focused Time Lagged Feedforward Network(TLFN) with respect to a differential signal of acceleration, which is widely applied for time series forecasting. The simple experiment is conducted with handwriting and the detection performance and response characteristic of the proposed algorithm is tested and analyzed.

  • PDF

PECVD Chamber Cleaning End Point Detection (EPD) Using Optical Emission Spectroscopy Data

  • Lee, Ho Jae;Seo, Dongsun;Hong, Sang Jeen;May, Gary S.
    • Transactions on Electrical and Electronic Materials
    • /
    • v.14 no.5
    • /
    • pp.254-257
    • /
    • 2013
  • In-situ optical emission spectroscopy (OES) is employed for PECVD chamber monitoring. OES is used as an addon sensor to monitoring and cleaning end point detection (EPD). On monitoring plasma chemistry using OES, the process gas and by-product gas are simultaneously monitored. Principal component analysis (PCA) enhances the capability of end point detection using OES data. Through chamber cleaning monitoring using OES, cleaning time is reduced by 53%, in general. Therefore, the gas usage of fluorine is also reduced, so satisfying Green Fab challenge in semiconductor manufacturing.

Robust End Point Detection for Robot Speech Recognition Using Double Talk Detection (음성인식 로봇을 위한 동시통화검출 기반의 강인한 음성 끝점 검출)

  • Moon, Sung-Kyu;Park, Jin-Soo;Ko, Han-Seok
    • The Journal of the Acoustical Society of Korea
    • /
    • v.31 no.3
    • /
    • pp.161-169
    • /
    • 2012
  • This paper presents a robust speech end-point detector using double talk detection in echoic conditioned speech recognition robot. The proposed method consists of combining conventional end-point detector result and double talk detector result. We have tested the proposed method in isolated word recognition system under echoic conditioned environment. As a result, the proposed algorithm shows superior performance of 30 % to the available techniques in the points of speech recognition rates.

P Wave Detection based on QRST Cancellation Zero-One Substitution

  • Cho, Ik-Sung
    • Journal of information and communication convergence engineering
    • /
    • v.19 no.2
    • /
    • pp.93-101
    • /
    • 2021
  • Cardiac arrhythmias are common heart diseases and generally cause sudden cardiac death. Electrocardiogram (ECG) is an effective tool that can reveal the electrical activity of the heart and diagnose cardiac arrhythmias. We propose detection of P waves based on QRST cancellation zero-one substitution. After preprocessing, the QRST segment is determined by detecting the Q wave start point and T wave end point separately. The Q wave start point is detected by digital analyses of the QRS complex width, and the T wave end point is detected by computation of an indicator related to the area covered by the T wave curve. Then, we determine whether the sampled value of the signal is in the interval of the QRST segment and substitute zero or one for the value to cancel the QRST segment. Finally, the maximum amplitude is selected as the peak of the P wave in each RR interval of the residual signal. The average detection rate for the QT database was 97.67%.

A Study of End Point Detection Measurement for STI-CMP Applications (STI-CMP 공정 적용을 위한 연마 정지점 고찰)

  • 김상용;서용진
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.3
    • /
    • pp.175-184
    • /
    • 2001
  • In this study, the improved throughput and stability in device fabrication could be obtained by applying CMP process to STi structue in 0.18 um semiconductor device. To employ the CMP process in STI structure, the Reverse Moat Process used to be added after STI Fill, as a result, the process became more complex and the defect were seriously increased than they had been,. Removal rate of each thin film in STI CMP was not uniform, so, the device must have been affected. That is, in case of excessive CMP, the damage on the active area was occurred, and in the case of insufficient CMP nitride remaining was happened on that area. Both of them deteriorated device characteristics. As a solution to these problems, the development of slurry having high removal rate and high oxide to nitride selectivity has been studied. The process using this slurry afford low defect levels, improved yield, and a simplified process flow. In this study, we evaluated the 'High Selectivity Slurry' to do a global planarization without reverse moat step, and also we evaluated EPD(Eend Point Detection) system with which 'in-situ end point detection' is possible.

  • PDF

A study of EPD for Shallow Trench Isolation CMP by HSS Application (HSS을 적용한 STI CMP 공정에서 EPD 특성)

  • Kim, Sang-Yong;Kim, Yong-Sik
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.04b
    • /
    • pp.35-38
    • /
    • 2000
  • In this study, the rise throughput and the stability in fabrication of device can be obtained by applying of CMP process to STI structure in 0.l8um semiconductor device. Through reverse moat pattern process, reduced moat density at high moat density, STI CMP process with low selectivity could be to fit polish uniformity between low moat density and high moat density. Because this reason, in-situ motor current end point detection method is not fit to the current EPD technology with the reverse moat pattern. But we use HSS without reverse moat pattern on STI CMP and take end point current sensing signal.[1] To analyze sensing signal and test extracted signal, we can to adjust wafer difference within $110{\AA}$.

  • PDF