• Title/Summary/Keyword: Dry etching

Search Result 407, Processing Time 0.029 seconds

Dry Etch Characteristic of Ferroelectric $YMnO_3$ Thin Films Using High Density $Ar/Cl_{2}/CF_{4}$ $PAr/Cl_{2}/CF_{4}$ ($Ar/Cl_{2}/CF_{4}$ 코밀도 플라즈마를 이용한 강유전체 $YMnO_3$의 건식식각 특성연구)

  • 박재화;김창일;장의구;이철인;이병기
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.213-216
    • /
    • 2001
  • Etching behaviors of ferroelectric YMn $O_3$ thin films were studied by an inductively coupled plasma (ICP). Etch characteristic on ferroelectric YMn $O_3$ thin film have been investigated in terms of etch rate, selectivity and etch profile. The maximum etch rate of YMn $O_3$ thin film is 300 $\AA$/min at Ar/C $l_2$ of 2/8, RF power of 800W, dc bias voltage of 200V, chamber pressure of 15mTorr and substrate temperature of 3$0^{\circ}C$. Addition of C $F_4$ gas decrease the etch rate of YMn $O_3$ thin film. From the results of XPS analysis, Y $F_{X}$ compunds were found on the surface of YMn $O_3$ thin film which is etched in Ar/C1/C $F_4$ plasma. The etch profile of YMn $O_3$ film is improved by addition of C $F_4$ gas into the Ar/C $l_2$ plasma. These results suggest that fluoride yttrium acts as a sidewall passivants which reduce the sticking coefficient of chlorine on YMn $O_3$.>.

  • PDF

Studies of Printing Head Fabrication Process For Mano Metal Printing System (메탈 인쇄용 압전 헤드 제작 프로세스 연구)

  • Yoo, Young-Seuck;Kim, Young-Jae;Sim, Won-Chul;Park, Chang-Sung;Joung, Jae-Woo;Oh, Yong-Soo
    • Proceedings of the KIEE Conference
    • /
    • 2006.07c
    • /
    • pp.1623-1624
    • /
    • 2006
  • It is a paper for design, manufacture and estimation of industry inkjet head. Simulations for Actuator, Ink flow and Ejection are executed for securing design ability. Relations between droplet and properties of ink are explained closely through simulation for nozzle. Actually, two silicon plates are made by dry and wet etching and directly bonded. PZT materials is attached on the bended ink flow part and cut to $540{\mu}m$ interval by dicing saw. Actuator was seen variation within 10% between simulation and actual head. Through the ejection estimation, it is shown that stabilized driving voltages change according to viscosity and surface tension of metal ink. Using the metal ink of viscosity of 4.8 cps and surface tension of 0.025 N/m, it is possible to eject the stable droplets with 5m/s, 20 pl, 5 kHz.

  • PDF

Study of metal dopants and/or Ag nanoparticles incorporated direct-patternable ZnO film by photochemical solution deposition

  • Kim, Hyun-Cheol;Reddy, A.Sivasankar;Park, Hyung-Ho
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.368-368
    • /
    • 2007
  • Zinc oxide (ZnO) has drawn much interest as a potential transparent conducting oxide (TCO) for applying to solar cell and front electrode of electro-luminescent devices. For the enhancement of electrical property of TCOs, dopant introduction and hybridization with conductive nanoparticles have been investigated. In this work, ZnO films were formed on glass substrate by using photochemical solution deposition of Ag nanoparticles dispersed or various metal (Ag, Cd, In, or Sn) contained photosensitive ZnO solutions. The usage of photosensitive solution permits us to obtain a micron-sized direct patterning of ZnO film without using conventional dry etching procedure. The structural, optical, and electrical characteristics of ZnO films with the introduction of metal dopants with/without Ag nanoparticles have been investigated to check whether there is a combined effect between metal dopants and Ag nanoparticles on the characteristics of ZnO film. The phase formation and crystallinity of ZnO film were monitored with X-ray diffractometer. The optical transmittance measurement was carried out using UV-VIS-NIR spectrometer and the electrical properties such as sheet resistance and conductivity were observed by using four-point probe.

  • PDF

Cu CMP Characteristics and Electrochemical plating Effect (Cu 배선 형성을 위한 CMP 특성과 ECP 영향)

  • Kim, Ho-Youn;Hong, Ji-Ho;Moon, Sang-Tae;Han, Jae-Won;Kim, Kee-Ho
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.252-255
    • /
    • 2004
  • 반도체는 high integrated, high speed, low power를 위하여 design 뿐만 아니라 재료 측면에서도 많은 변화를 가져오고 있으며, RC delay time을 줄이기 위하여 Al 배선보다 비저항이 낮은 Cu와 low-k material 적용이 그 대표적인 예이다. 그러나, Cu 배선의 경우 dry etching이 어려우므로, 기존의 공정으로는 그 한계를 가지므로 damascene 또는 dual damascene 공정이 소개, 적용되고 있다. Damascene 공정은 절연막에 photo와 RIE 공정을 이용하여 trench를 형성시킨 후 electrochemical plating 공정을 이용하여 trench에 Cu를 filling 시킨다. 이후 CMP 공정을 이용하여 절연막 위의 Cu와 barrier material을 제거함으로서 Cu 배선을 형성하게 된다. Dual damascene 공정은 trench와 via를 동시에 형성시키는 기술로 현재 대부분의 Cu 배선 공정에 적용되고 있다. Cu CMP는 기존의 metal CMP와 마찬가지로 oxidizer를 이용한 Cu film의 화학반응과 연마 입자의 기계가공이 기본 메커니즘이다. Cu CMP에서 backside pressure 영향이 uniformity에 미치는 영향을 살펴보았으며, electrochemical plating 공정에서 발생하는 hump가 CMP 결과에 미치는 영향과 dishing 결과를 통하여 그 영향을 평가하였다.

  • PDF

Technology to Form Nano-sized Pattern on Light Guiding Plate Using MmSH Injection Molding Method (MmSH 사출성형법을 이용한 도광판용 나노패턴 형성기술 개발)

  • Lee, B.W.;Lee, J.H.;Lee, T.S.;Lee, K.W.;Kim, D.H.;Kim, Y.K.;Hong, C.;Jung, J.H.;Kim, C.K.
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.416-417
    • /
    • 2007
  • MmSH injection molding method to fabricate light guiding plate with nano-sized pattern was developed. A stamper was fabricated through photolithography, dry etching, and electroplating processes. While the stamper with nano-sized pattern in mold was kept at $180^{\circ}C$ during injection process, that was cooled down to $90^{\circ}C$ quickly after the injection process. The nano-sized pattern on light guiding plate processed by MmSH injection molding method was well transferred from stamper compared to that processed by conventional injection molding process.

  • PDF

Fabrication of sub-micron sized organic field effect transistors

  • Park, Seong-Chan;Heo, Jeong-Hwan;Kim, Gyu-Tae;Ha, Jeong-Suk
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.84-84
    • /
    • 2010
  • In this study, we report on the novel lithographic patterning method to fabricate organic-semiconductor devices based on photo and e-beam lithography with well-known silicon technology. The method is applied to fabricate pentacene-based organic field effect transistors. Owing to their solubility, sub-micron sized patterning of P3HT and PEDOT has been well established via micromolding in capillaries (MIMIC) and inkjet printing techniques. Since the thermally deposited pentacene cannot be dissolved in solvents, other approach was done to fabricate pentacene FETs with a very short channel length (~30nm), or in-plane orientation of pentacene molecules by using nanometer-scale periodic groove patterns as an alignment layer for high-performance pentacene devices. Here, we introduce the atomic layer deposition of $Al_2O_3$ film on pentacene as a passivation layer. $Al_2O_3$ passivation layer on OTFTs has some advantages in preventing the penetration of water and oxygen and obtaining the long-term stability of electrical properties. AZ5214 and ma N-2402 were used as a photo and e-beam resist, respectively. A few micrometer sized lithography patterns were transferred by wet and dry etching processes. Finally, we fabricated sub-micron sized pentacene FETs and measured their electrical characteristics.

  • PDF

Fabrication and Characterization of Direct-Patternable PZT Film Prepared by Photochemical Metal-Organic Deposition (광화학증착법에 의한 직접패턴 PZT 박막의 제조 및 특성)

  • Park, Hyeong-Ho;Park, Hyung-Ho;Kim, Tae-Song;Hill, Ross-H.
    • Korean Journal of Materials Research
    • /
    • v.18 no.2
    • /
    • pp.98-102
    • /
    • 2008
  • The ferroelectric properties of UV irradiated and non-irradiated PZT films prepared via photochemical metal-organic deposition using photosensitive precursors were characterized. Fourier transform infrared spectroscopy showed that complete removal of organic groups was possible through UV exposure of the spin-coated PZT precursor films at room temperature. The measured remnant polarization values of UV-irradiated and non-irradiated PZT films after annealing at $650^{\circ}C$ were 29 and $23\;{\mu}C/cm^2$, respectively. The UV irradiation was found to be effective for the enhancement of the <111> growth orientation and ferroelectric property of PZT film and in the direct patterning in the fabrication of micro-patterned systems without dry etching.

Enhanced adhesion properties of conductive super-hydrophobic surfaces by using zirco-aluminate coupling agent

  • Park, Myung-Hyun;Ha, Ji-Hwan;Song, Hyeonjun;Bae, Joonwon;Park, Sung-Hoon
    • Journal of Industrial and Engineering Chemistry
    • /
    • v.68
    • /
    • pp.387-392
    • /
    • 2018
  • Various technical approaches and concepts have been proposed to develop conductive super-hydrophobic (SH) surfaces. However, most of these approaches are not usable in practical applications because of insufficient adhesion and cost issues. Additionally, durability and uniformity issues are still in need of improvement. The goal of this research is to produce a large-area conductive SH surface with improved adhesion performance and uniformity. To this end, carbon nanotubes (CNT) with a high aspect ratio and elastomeric polymer were utilized as a conductive filler and matrix, respectively, to form a coating layer. Additionally, nanoscale silica particles were utilized for stable implementation of the conductive SH surface. To improve the adhesion properties between the SH coating layer and substrate, pretreatment of the substrate was conducted by utilizing both wet and dry etching processes to create specific organic functional groups on the substrate. Following pretreatment of the surface, a zirco-aluminate coupling agent was utilized to enhance adhesion properties between the substrate and the SH coating layer. Raman spectroscopy revealed that adhesion was greatly improved by the formation of a chemical bond between the substrate and the SH coating layer at an optimal coupling agent concentration. The developed conductive SH coating attained a high electromagnetic interference (EMI) shielding effectiveness, which is advantageous in self-cleaning EMI shielding applications.

Removal of Post Etch/Ash Residue on an Aluminum Patterned Wafer Using Supercritical CO2 Mixtures with Co-solvents and Surfactants: the Removal of Post Etch/Ash Residue on an Aluminum Patterned Wafer

  • You, Seong-sik
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.2
    • /
    • pp.55-60
    • /
    • 2017
  • The supercritical $CO_2$ (sc-$CO_2$) mixture and the sc-$CO_2$-based Photoresist(PR) stripping(SCPS) process were applied to the removal of the post etch/ash PR residue on aluminum patterned wafers and the results were observed by scanning of electron microscope(SEM). In the case of MDII wafers, the carbonized PR was able to be effectively removed without pre-stripping by oxygen plasma ashing by using sc-$CO_2$ mixture containing the optimum formulated additives at the proper pressure and temperature, and the same result was also able to be obtained in the case of HDII wafer. It was found that the efficiency of SCPS of ion implanted wafer improved as the temperature of SCPS was high, so a very large amount of MEA in the sc-$CO_2$ mixture could be reduced if the temperature could be increased at condition that a process permits, and the ion implanted photoresist(IIP) on the wafer was able to be removed completely without pre-treatment of plasma ashing by using the only 1 step SCPS process. By using SCPS process, PR polymers formed on sidewalls of metal conductive layers such as aluminum films, titanium and titanium nitride films by dry etching and ashing processes were removed effectively with the minimization of the corrosion of the metal conductive layers.

  • PDF

A Study of Machining Optimization of Parts for Semiconductor Plasma Etcher (반도체 플라즈마 식각 장치의 부품 가공 연구)

  • Lee, Eun Young;Kim, Moon Ki
    • Journal of the Semiconductor & Display Technology
    • /
    • v.19 no.4
    • /
    • pp.28-33
    • /
    • 2020
  • Plasma etching process employs high density plasma to create surface chemistry and physical reactions, by which to remove material. Plasma chamber includes silicon-based materials such as a focus ring and gas distribution plate. Focus ring needs to be replaced after a short period. For this reason, there is a need to find materials resistant to erosion by plasma. The developed chemical vapor deposition processing to produce silicon carbide parts with high purity has also supported its widespread use in the plasma etch process. Silicon carbide maintains mechanical strength at high temperature, it have been use to chamber parts for plasma. Recently, besides the structural aspects of silicon carbide, its electrical conductivity and possibly its enhanced life time under high density plasma with less generation of contamination particles are drawing attention for use in applications such as upper electrode or focus rings, which have been made of silicon for a long time. However, especially for high purity silicon carbide focus ring, which has usually been made by the chemical vapor deposition method, there has been no study about quality improvement. The goal of this study is to reduce surface roughness and depth of damage by diamond tool grit size and tool dressing of diamond tools for precise dimensional assurance of focus rings.