• 제목/요약/키워드: Chiplet

검색결과 2건 처리시간 0.013초

인공지능 반도체 및 패키징 기술 동향 (Artificial Intelligence Semiconductor and Packaging Technology Trend)

  • 김희주;정재필
    • 마이크로전자및패키징학회지
    • /
    • 제30권3호
    • /
    • pp.11-19
    • /
    • 2023
  • 최근 Chat GPT와 같은 인공지능 (Artificial Intelligence, AI) 기술의 급격한 발전에 따라 AI 반도체의 중요성이 강조되고 있다. AI 기술은 빅데이터 처리, 딥 러닝, 알고리즘 등의 요구사항으로 인해 대용량 데이터를 빠르게 처리할 수 있는 능력을 필요로 한다. 그러나 AI 반도체는 대규모 데이터를 처리하는 과정에서 과도한 전력 소비와 데이터 병목현상 문제가 발생한다. 반도체 전공정의 초미세공정이 물리적 한계에 도달함에 따라, AI 반도체의 연산을 위한 최신 패키징 기술이 요구되는 추세이다. 본 고에서는 AI 반도체에 적용가능한 인터포저, TSV, 범핑, Chiplet, 하이브리드 본딩 패키징 기술에 대해서 기술하였다. 이러한 기술들은 AI 반도체의 전력 효율과 연산 속도를 향상시키는데 기여할 것으로 기대된다.

글로벌 파운드리 Big3의 첨단 패키징 기술개발 동향 (Development Trends in Advanced Packaging Technology of Global Foundry Big Three)

  • 전황수;최새솔;민대홍
    • 전자통신동향분석
    • /
    • 제39권3호
    • /
    • pp.98-106
    • /
    • 2024
  • Advanced packaging is emerging as a core technology owing to the increasing demand for multifunctional and highly integrated semiconductors to achieve low power and high performance following digital transformation. It may allow to overcome current limitations of semiconductor process miniaturization and enables single packaging of individual devices. The introduction of advanced packaging facilitates the integration of various chips into one device, and it is emerging as a competitive edge in the industry with high added value, possibly replacing traditional packaging that focuses on electrical connections and the protection of semiconductor devices.