• Title/Summary/Keyword: ChemicalPolishing

Search Result 584, Processing Time 0.027 seconds

Behavior of surfacial and optical properties of CdTe thin films by CMP process (CMP공정에 의한 CdTe 박막의 표면 및 광학 특성 거동)

  • Park, Ju-Sun;Na, Han-Yong;Ko, Pil-Ju;Kim, Nam-Hoon;Yang, Jang-Tae;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.111-111
    • /
    • 2008
  • 태양전지는 태양에너지를 직접 전기에너지로 변환시켜주는 광전 소자로서 구조적으로 단순하고 제조 공정도 비교적 간단하지만, 실용화를 위해서는 비용적인 측면이 많은 걸림돌이 되고 있다. 기존의 실리콘 태양전지는 낮은 광흡수율, 고비용임에도 불구하고 가장 많이 활용되고 있는 태양전지 기술이다. 그러나 태양전지의 경제성 향상과 실용화를 위해서는 기존의 실리콘 태양전지 보다 고효율 및 고신뢰도의 박막형 태양전지의 개발이 필요하다. 박막헝 태양전지의 재료로는 비정질 실리콘, 다결정 실리콘. CIGS, CdTe 등이 있다. 그 중에서도 박막형 태양전지에 광흡수층 물질로는 밴드갭 에너지 (l.4eV 부근), 변환 효율, 경제성 등을 고려했을 때 II-VI족 화합물인 CdTe가 가장 적합한 것으로 각광받고 있다. 하지만 아직까지 실리콘 태양전지에 비해 효율이 많이 떨어지는 단점을 가지고 있기 때문에 효율을 더 끌어올리기 위한 연구가 활발히 진행되고 있는 실정이다. 또한 CMP(chemical mechanical polishing) 공정은 반도체 박막 분야뿐만 아니라 물리, 화학 반응의 기초 연구에도 널리 응용이 되는 기술로써, 시료와 연마 패드 사이의 회전마찰에 의한 기계적 연마와 연마제 (abrasive) 에 의한 화학적 에칭으로 박막 표면을 평탄화하는 기술이다. 본 연구에서는 sputtering 법에 의해 증착된 CdTe 박막에 CMP 공정을 적용하여 표면 특성을 개선한 뒤 태양전지 변환 효율과 직접적인 연관성을 가지고 있는 표면 및 광특성의 변화를 CMP 공정 전과 후로 비교하였다. 표면의 변화를 관찰하기 위해서 AFM(atomic forced microscope) 과 SEM(scanning electron microscopy) 을 이용하였으며, 광특성의 비교를 위해서 흡수율과 PL특성을 측정하였다.

  • PDF

Development of Cu CMP process for Cu-to-Cu wafer stacking (Cu-to-Cu 웨이퍼 적층을 위한 Cu CMP 특성 분석)

  • Song, Inhyeop;Lee, Minjae;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.4
    • /
    • pp.81-85
    • /
    • 2013
  • Wafer stacking technology becomes more important for the next generation IC technology. It requires new process development such as TSV, wafer bonding, and wafer thinning and also needs to resolve wafer warpage, power delivery, and thermo-mechanical reliability for high volume manufacturing. In this study, Cu CMP which is the key process for wafer bonding has been studied using Cu CMP and oxide CMP processes. Wafer samples were fabricated on 8" Si wafer using a damascene process. Cu dishing after Cu CMP and oxide CMP was $180{\AA}$ in average and the total height from wafer surface to bump surface was approximately $2000{\AA}$.

Stability of Oxidizer $H_2O_2$ for Copper CMP Slurry (구리 CMP 슬러리를 위한 산화제 $H_2O_2$의 안정성)

  • Lee, Do-Won;Kim, In-Pyo;Kim, Nam-Hoon;Kim, Sang-Yong;Seo, Yong-Jin;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.382-385
    • /
    • 2003
  • Chemical mechanical polishing(CMP) is an essential process in the production of copper-based chips. On this work, the stability of Hydrogen Peroxide($H_2O_2$) as oxidizer of Cu CMP slurry has been investigated. $H_2O_2$ is known as the most common oxidizer in Cu CMP slurry. Copper slowly dissolves in $H_2O_2$ solutions and the interaction of $H_2O_2$ with copper surface had been studied in the literature. Because hydrogen peroxide is a weak acid in aqueous solutions, a passivation-type slurry chemistry could be achieved only with pH buffered solution.[1] Moreover, $H_2O_2$ is so unstable that its stabilization is needed using as oxidizer. As adding KOH as pH buffering agent, stability of $H_2O_2$ decreased. However, stability went up with putting in small amount of BTA as film forming agent. There was no difference of $H_2O_2$ stability between KOH and TMAH at same pH. On the other hand, $H_2O_2$ dispersion of TMAH is lower than that of KOH. Furthermore, adding $H_2O_2$ in slurry in advance of bead milling lead to better stability than adding after bead milling. Generally, various solutions of phosphoric acids result in a higher stability. Using Alumina C as abrasive was good at stabilizing for $H_2O_2$; moreover, better stability was gotten by adding $H_3PO_4$.

  • PDF

Property variation of transistor in Gate Etch Process versus topology of STI CMP (STI CMP후 Topology에 따른 Gate Etch, Transistor 특성 변화)

  • Kim, Sang-Yong;Chung, Hun-Sang;Park, Min-Woo;Kim, Chang-Il;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11b
    • /
    • pp.181-184
    • /
    • 2001
  • Chemical Mechanical Polishing(CMP) of Shallow Trench Isolation(STD structure in 0.18 m semiconductor device fabrication is studied. CMP process is applied for the STI structure with and without reverse moat pattern and End Point Detection (EPD) method is tested. To optimize the transistor properties related metal 1 parameters. we studied the correlation between CMP thickness of STI using high selectivity slurry. DOE of gate etch recipe, and 1st metal DC values. Remaining thickness of STI CMP is proportional to the thickness of gate-etch process and this can affect to gate profile. As CMP thickness increased. the N-poly foot is deteriorated. and the P-Poly Noth is getting better. If CD (Critical Dimension) value is fixed at some point,, all IDSN/P values are in inverse proportional to CMP thickness by reason of so called Profile Effect. Weve found out this phenomenon in all around DOE conditions of Gate etch process and we also could understand that it would not have any correlation effects between VT and CMP thickness in the range of POE 120 sec conditions. As CMP thickness increased by $100\AA$. 3.2 $u\AA$ of IDSN is getting better in base 1 condition. In POE 50% condition. 1.7 $u\AA$ is improved. and 0.7 $u\AA$ is improved in step 2 condition. Wed like to set the control target of CD (critical dimension) in gate etch process which can affect Idsat, VT property versus STI topology decided by CMP thickness. We also would like to decide optimized thickness target of STI CMP throughout property comparison between conventional STI CMP with reverse moat process and newly introduced STI CMP using high selectivity slurry. And we studied the process conditions to reduce Gate Profile Skew of which source known as STI topology by evaluation of gate etch recipe versus STI CMP thickness.

  • PDF

CMP of BTO Thin Films using $TiO_2$ and $BaTiO_3$ Mixed Abrasive slurry ($BaTiO_3$$TiO_2$ 연마제 첨가를 통한 BTO박막의 CMP)

  • Seo, Yong-Jin;Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.11a
    • /
    • pp.68-69
    • /
    • 2005
  • BTO ($BaTiO_3$) thin film is one of the high dielectric materials for high-density dynamic random access memories (DRAMs) due to its relatively high dielectric constant. It is generally known that BTO film is difficult to be etched by plasma etching, but high etch rate with good selectivity to pattern mask was required. The problem of sidewall angle also still remained to be solved in plasma etching of BTO thin film. In this study, we first examined the patterning possibility of BTO film by chemical mechanical polishing (CMP) process instead of plasma etching. The sputtered BTO film on TEOS film as a stopper layer was polished by CMP process with the self-developed $BaTiO_3$- and $TiO_2$-mixed abrasives slurries (MAS), respectively. The removal rate of BTO thin film using the$ BaTiO_3$-mixed abrasive slurry ($BaTiO_3$-MAS) was higher than that using the $TiO_2$-mixed abrasive slurry ($TiO_2$-MAS) in the same concentrations. The maximum removal rate of BTO thin film was 848 nm/min with an addition of $BaTiO_3$ abrasive at the concentration of 3 wt%. The sufficient within-wafer non-uniformity (WIWNU%)below 5% was obtained in each abrasive at all concentrations. The surface morphology of polished BTO thin film was investigated by atomic force microscopy (AFM).

  • PDF

Silicon-Wafer Direct Bonding for Single-Crystal Silicon-on-Insulator Transducers and Circuits (단결정 SOI트랜스듀서 및 회로를 위한 Si직접접합)

  • Chung, Gwiy-Sang;Nakamura, Tetsuro
    • Journal of Sensor Science and Technology
    • /
    • v.1 no.2
    • /
    • pp.131-145
    • /
    • 1992
  • This paper has been described a process technology for the fabrication of Si-on-insulator(SOI) transducers and circuits. The technology utilizes Si-wafer direct bonding(SDB) and mechanical-chemical(M-C) local polishing to create a SOI structure with a high-qualify, uniformly thin layer of single-crystal Si. The electrical and piezoresistive properties of the resultant thin SOI films have been investigated by SOI MOSFET's and cantilever beams, and confirmed comparable to those of bulk Si. Two kinds of pressure transducers using a SOI structure have been proposed. The shifts in sensitivity and offset voltage of the implemented pressure transducers using interfacial $SiO_{2}$ films as the dielectrical isolation layer of piezoresistors were less than -0.2% and +0.15%, respectively, in the temperature range from $-20^{\circ}C$ to $+350^{\circ}C$. In the case of pressure transducers using interfacial $SiO_{2}$ films as an etch-stop layer during the fabrication of thin Si membranes, the pressure sensitivity variation can be controlled to within a standard deviation of ${\pm}2.3%$ from wafer to wafer. From these results, the developed SDB process and the resultant SOI films will offer significant advantages in the fabrication of integrated microtransducers and circuits.

  • PDF

A Case Report on the Constructed Wetland for the Growth of Sphagnum palustre (물이끼(Sphagnum palustre) 생육이 가능한 인공습지 사례보고)

  • Hong, Mun Gi;Kim, Jae Geun
    • Journal of the Korean Society of Environmental Restoration Technology
    • /
    • v.16 no.6
    • /
    • pp.93-107
    • /
    • 2013
  • Construction of an artificial wetland for the growth of Sphagnum palustre with emergent macrophytes (Phragmites australis, Typha angustifolia, and Zizania latifolia) was firstly tried and the growth of those plant components according to various environmental combinations has been monitored for three years. Above-ground dry weight of Z. latifolia ($1,500g/m^2$) was higher than T. angustifolia ($900g/m^2$) and P. australis ($500g/m^2$) under most environmental conditions. In overall, planted emergent macrophytes seemed to prefer polishing sand without moss peat as a substrate and relatively deep water-depth condition (20cm) rather than shallow water-depth (5cm). Despite of high calcium content in inflow water (> 15ppm) into the constructed wetland, S. palustre populations have survived in most experimental plots during the monitoring period. Substrate layer including moss peat with high surface-area might play a role as an ion-filter. After three years, relatively thicker litter-layer in Z. latifolia plots due to vigorous growth appeared to heavily depress S. palustre by physical compressing and complete shading processes. Most of all, for the continuous growth of S. palustre, physio-chemical characteristics of water and substrate must be carefully managed. In addition, companion emergent species should be also cautiously selected not to depress S. palustre by much litter production. We suggest P. australis and T. angustifolia as companion species rather than Z. latifolia.

A Study on the Neoasozine Residues in Rice Grain by Neutron Activation Method (방사화(放射化) 분석법(分析法)에 의한 미곡(米穀)중 네오아소진 잔류분(殘留分)에 관한 연구(硏究))

  • Kim, Yong-Hwa;Lee, Koon-Ja;Lee, Su-Rae
    • Korean Journal of Food Science and Technology
    • /
    • v.13 no.1
    • /
    • pp.20-24
    • /
    • 1981
  • Residues of neoasozine in rice grain were determined by neutron activation and colorimetric techniques. Twice application of the chemical before flowering did not lead to any increased residue level while 4-times application resulted in significant increase in the residue level up to 0.54-0.75 mg $As_2O_3/kg$. The partition ratio of arsenic residues into polished rice grain and bran was 73 : 27 in 100% polishing while most of the residues in the bran was transferred to oil cake fraction during solvent extraction, reaching up to 2.9 mg $As_2O_3/kg$. The neutron activation technique was advantageous because of its high sensitivity and the smaller sample amounts required for analysis.

  • PDF

CMP of BTO Thin Films using Mixed Abrasive slurry (연마제 첨가를 통한 BTO Film의 CMP)

  • Kim, Byeong-In;Lee, Gi-Sang;Park, Jeong-Gi;Jeong, Chang-Su;Gang, Yong-Cheol;Cha, In-Su;Jeong, Pan-Geom;Sin, Seong-Heon;Go, Pil-Ju;Lee, U-Seon
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.05a
    • /
    • pp.101-102
    • /
    • 2006
  • BTO ($BaTiO_3$) thin film is one of the high dielectric materials for high-density dynamic random access memories (DRAMs) due to its relatively high dielectric constant, It is generally known that BTO film is difficult to be etched by plasma etching, but high etch rate with good selectivity to pattern mask was required. The problem of sidewall angle also still remained to be solved in plasma etching of BTO thin film. In this study, we first examined the patterning possibility of BTO film by chemical mechanical polishing (CMP) process instead of plasma etching. The sputtered BTO film on TEOS film as a stopper layer was polished by CMP process with the sell-developed $BaTiO_3$- and $TiO_2$-mixed abrasives slurries (MAS). respectively. The removal rate of BTO thin film using the $BaTiO_3$-mixed abrasive slurry ($BaTiO_3$-MAS) was higher than that using the $TiO_2$-mixed abrasive slurry ($TiO_2$-MAS) in the same concentrations. The maximum removal rate of BTO thin film was 848 nm/min with an addition of $BaTiO_3$ abrasive at the concentration of 3 wt%.

  • PDF

Effect of pH in Sodium Periodate based Slurry on Ru CMP (Sodium Periodate 기반 Slurry의 pH 변화가 Ru CMP에 미치는 영향)

  • Kim, In-Kwon;Cho, Byung-Gwun;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.117-117
    • /
    • 2008
  • In MIM capacitor, poly-Si bottom electrode is replaced with metal bottom electrode. Noble metals can be used as bottom electrodes of capacitors because they have high work function and remain conductive in highly oxidizing conditions. In addition, they are chemically very stable. Among novel metals, Ru (ruthenium) has been suggested as an alternative bottom electrode due to its excellent electrical performance, including a low leakage of current and compatibility to high dielectric constant materials. Chemical mechanical planarization (CMP) process has been suggested to planarize and isolate the bottom electrode. Even though there is a great need for development of Ru CMP slurry, few studies have been carried out due to noble properties of Ru against chemicals. In the organic chemistry literature, periodate ion ($IO_4^-$) is a well-known oxidant. It has been reported that sodium periodate ($NaIO_4$) can form $RuO_4$ from hydrated ruthenic oxide ($RuO_2{\cdot}nH_2O$). $NaIO_4$ exist as various species in an aqueous solution as a function of pH. Also, the removal mechanism of Ru depends on solution of pH. In this research, the static etch rate, passivation film thickness and wettability were measured as a function of slurry pH. The electrochemical analysis was investigated as a function of pH. To evaluate the effect of pH on polishing behavior, removal rate was investigated as a function of pH by using patterned and unpatterned wafers.

  • PDF