• Title/Summary/Keyword: CMP Slurry

Search Result 364, Processing Time 0.029 seconds

Development of CMP process for reducing scratches during ILD CMP (ILD CMP중 Scratch 감소를 위한 CMP 공정기술 개발)

  • Kim, In-Gon;Kim, In-Kwon;Prasad, Y. Nagendra;Choi, Jea-Gon;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.59-59
    • /
    • 2009
  • 현재 CMP분야는 광역 평탄화 반도체 소자의 집적화 및 소형화가 진행됨에 따라서 CMP 공정의 중요성은 날로 성장하고 있다. 하지만 이러한 CMP공정은 불가피하게도 scratch, pit, CMP residue와 같은 defect들을 발생시키고 있으며, 점점 선폭이 작아짐에 따라, 이러한 defect들이 반도체 수율에 미치는 영향은 심각해지고 있다. Defect들 중에 특히 scratch는 반도체에 치명적인 circuit failure를 일으키게 된다. 또한 반도체 내구성과 신뢰성을 감소시키게 되고, 누전전류를 증가시키는 등 바람직하지 못한 현상들이 생기게 된다. 본 연구에서는 scratch 와 같은 deflect들을 효율적으로 검출, 분석하고, scratch를 감소시키는데 그 목적이 있다. 본 실험을 위해 8" TEOS wafer와 commercial oxide slurry 및 friction polisher (Poli-500, G&P tech., Korea)를 사용하여 CMP 공정을 진행하였으며, CMP 공정조건은 각각 80rpm/80rpm/1psi(Platen speed/Head speed/Pressure)에서 1분 동안 연마를 한 후 scratch 발생 경향을 살펴보았다. CMP 후 wafer위에 오염되어 있는 slurry residue들을 제거하기 위해 SC-1, HF 세정을 이용하여 최적화된 post-CMP 공정기술을 제안하였다. Scratch 검출 및 분석을 위해 wafer surface analyzer (Surfscan 6200, Tencor, USA)와 optical microscope (LV100D, Nicon, Japan)를 사용하였다. CMP 공정 변수들에 따른 scratch 발생정도를 비교하였으며, scratch 발생 요인들에 따른 scratch 형태 및 발생정도를 살펴보았다. 최적화된 post-CMP 세정 조건은 메가소닉과 함께 SC-1 세정을 실시하여 slurry residue들을 제거한 후, HF 세정을 실시하여 잔여 오염물들을 제거하고 검출이 용이하도록 scratch를 확장시킬 수 있도록 제안하였으며, 100%의 particle removal efficiency (PRE)를 얻을 수 있었다. 실제 CMP 공정후 post-CMP 세정 단계별 scratch 개수를 측정한 결과, SC-1 세정 후 약 220개의 scratch가 검출되었으며, 검출되지 않았던 scratch가 HF 세정 후 확장되어 드러남에 따라 약 500개의 scratch 가 검출되었다.

  • PDF

Development of Ceria-Based Slurry with High Selectivity for STI CMP

  • Lim, G.;Kim, T.E.;Kim, J.;Lee, J.H.;Lee, H.W.
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 2002.10b
    • /
    • pp.439-440
    • /
    • 2002
  • Nano-Crystalline $CeO_2$ particles were dispersed in deionized water with controlled slurry chemicals for CMP test. According to the CMP test, the removal rate of $SiO_2$ layer was mainly controlled by the size and crystallinity of $CeO_2$ particles which can be controlled by the heat-treatment condition during $CeO_2$ synthesis. In contrast, the removal rate of $Si_3N_4$ layer was significantly influenced by the passivation reagent which protects the $Si_3N_4$ surface layer from excessive dissolution during CMP.

  • PDF

A study on the Oxide CMP Characteristics using New Abrasive (새로운 연마제를 이용한 Oxide CMP 특성에 관한 연구)

  • Han, Sung-Min;Han, Sang-Jun;Park, Sung-Woo;Lee, Woo-Sun;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.11a
    • /
    • pp.378-379
    • /
    • 2006
  • CMP(chemical mechanical polishing) process has been attracted as an essential technology of multi-level interconnection. However, the COO(cost of ownership) is very high, because of high consumable cost. Especially, among the consumables, slurry dominates more than 40 %. So, we focused how to reduce the consumption of raw slurry. In this paper, $ZrO_2$, $CeO_2$, and $MnO_2$ abrasives were added de-ionized water (DIW) and pH control as a function of KOH contents. We have investigate the possibility of new abrasive for the oxide CMP application.

  • PDF

Chemical Mechanical Polishing (CMP) Characteristics of Ferroelectric BST Thin Film (강유전체막의 CMP 특성)

  • Park, Sung-Woo;Kim, Nam-Hoom;Lee, Woo-Sun;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.11a
    • /
    • pp.719-722
    • /
    • 2004
  • In this work, we applied the chemical mechanical polishing (CMP) process to the planarization of ferroelectric film. We compared the structural characteristics of BST $(Ba_{0.6}Sr_{0.4}TiO_3)$ films before and after the CMP process. Their dependence on slurry composition was also investigated. Finally, we suggest the self-developed titania $(TiO_2)$ mixed abrasive slurry (MAS) for FRAM applications. Our experimental results on the ferroelectric film are encouraging for the next generation of FRAM applications.

  • PDF

Optimization of Condition of Chemical Additives in Cu CMP Slurry (Cu CMP 슬러리에서 화학첨가제 조건의 최적화)

  • Kim, In-Pyo;Kim, Nam-Hoon;Lim, Jong-Heun;Kim, Sang-Yong;Kim, Chang-Il;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.304-307
    • /
    • 2003
  • Replacement of aluminum by copper for interconnections in the semiconductor industry has raised a number of important issues. The integration of copper interconnection can be carried out by CMP(chemical mechanical polishing) is used to planarize the surface topography. In this experiments, we evaluated the optimization of several conditions for chemical additives during Cu CMP process. It was presented that the main cause of grown particle size is tartaric acid. The particle size was in inverse propotion to a quantity of bead and the time of milling process. The slurry stabilizer and oxidizer have been shown to have very good effect by addition in later milling process.

  • PDF

Chemical Mechanical Polishing Characteristics with Different Slurry and Pad (슬러리 및 패드 변화에 따른 기계화학적인 연마 특성)

  • 서용진;정소영;김상용
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.52 no.10
    • /
    • pp.441-446
    • /
    • 2003
  • The chemical mechanical polishing (CMP) process is now widely employed in the ultra large scale integrated (ULSI) semiconductor fabrication. Especially, shallow trench isolation (STI) has become a key isolation scheme for sub-0.13/0.10${\mu}{\textrm}{m}$ CMOS technology. The most important issues of STI-CMP is to decrease the various defects such as nitride residue, dishing, and tom oxide. To solve these problems, in this paper, we studied the planarization characteristics using slurry additive with the high selectivity between $SiO_2$ and $Si_3$$N_4$ films for the purpose of process simplification and in-situ end point detection. As our experimental results, it was possible to achieve a global planarization and STI-CMP process could be dramatically simplified. Also, we estimated the reliability through the repeated tests with the optimized process conditions in order to identify the reproducibility of STI-CMP process.

Effects of Mixed Oxidizer on the W-CMP Characteristics (혼합 산화제가 W-CMP 특성에 미치는 영향)

  • 박창준;서용진;김상용;이우선
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.12S
    • /
    • pp.1181-1186
    • /
    • 2003
  • Chemical Mechanical Polishing (CMP) is an essential dielectric planarization in multilayer microelectronic device fabrication. In the CMP process, it is necessary to minimize the extent of surface defect formation while maintaining good planarity and optimal material removal rates. The polishing mechanism of W-CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. Thus, it is important to understand the effect of oxidizer on W passivation layer, in order to obtain higher removal rate (RR) and very low non-uniformity (NU %) during W-CMP process. In this paper, we compared the effects of oxidizer or W-CMP process with three different kind of oxidizers with 5 wt% hydrogen peroxide such as Fe(NO$_3$)$_3$, H$_2$O$_2$, and KIO$_3$. The difference in removal rate and roughness of W in stable and unstable slurries are believed to caused by modification in the mechanical behavior of Al$_2$O$_3$ particles in presence of surfactant stabilizing the slurry.

A Study on the effect of TEOS film by Dispel8ion Time and Content of $CeO_2$ Abrasive (DSS에서 $CeO_2$ 연마제의 첨가량과 분산시간이 TEOS 막에 미치는 특성연구)

  • Seo, Yong-Jin;Han, Sang-Jun;Park, Sung-Woo;Lee, Young-Kyun;Lee, Sung-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.487-487
    • /
    • 2009
  • One of the critical consumables in chemical mechanical polishing (CMP) is a specialized solution or slurry, which typically contains both abrasives and chemicals acting together to planarize films. In single abrasive slurry (SAS), the solid phase consists of only one type of abrasive particle. On the other hand, mixed abrasive slurry (MAS) consists of a mixture of at least two types of abrasive particles. In this paper, we have studied the CMP characteristics of mixed abrasive slurry (MAS) retreated by adding of $CeO_2$ abrasives within 1:10 diluted silica slurry (DSS). The slurry designed for optimal performance should produce reasonable removal rates, acceptable polishing selectivity with respect to the underlying layer, low surface defects after polishing, and good slurry stability. The modified abrasives in MAS are evaluated with respect to their particle size distribution, surface morphology, and CMP performances such as removal rate and non-uniformity. As an experimental result, we obtained the comparable slurry characteristics compared with original silica slurry in the viewpoint of high removal rate and low non-uniformity.

  • PDF

Mechanical Analysis on Uniformity in Copper Chemical Mechanical Planarization (Copper CMP시 연마균일성에 관한 기계적 해석)

  • Jeong, Hae-Do;Lee, Hyun-Seop;Kim, Hyoung-Jae
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.49-50
    • /
    • 2006
  • The studies on Cu CMP have focused on material removal and its mechanisms. Although many studies have been conducted on the mechanism of Cu CMP, a study on uniformity in Cu CMP is still unknown. Since the aim of CMP is global and local planarization, the approach to uniformity in Cu CMP is essential to elucidate the Cu CMP mechanism as well. The main purpose of the experiment reported here was to investigate the roles of slurry components in the formation of the uniformity in Cu CMP. All the results of in this study showed that the uniformity in Cu CMP could be controlled by the contents of slurry components.

  • PDF

Analysis of the Lubricational Characteristics for Chemical-Mechanical Polishing Process (화학기계적 연마 가공에서의 윤활 특성 해석)

  • 박상신;조철호;안유민
    • Tribology and Lubricants
    • /
    • v.15 no.1
    • /
    • pp.90-97
    • /
    • 1999
  • Chemical-Mechanical Polishing (CMP) refers to a material removal process done by rubbing a work piece against a polishing pad under load in the presence of chemically active, abrasive containing slurry. CU process is a combination of chemical dissolution and mechanical action. The mechanical action of CMP involves tribology. The liquid slurry is trapped between the wafer (work piece) and pad (tooling) forming a lubricating film. For the first step to understand material removal rate of the CMP process, the lubricational analyses were done with commercial 100mm diameter silicon wafers to get nominal clearance of the slurry film, roll and pitch angle at the steady state. For this purpose, we calculate slurry pressure, resultant forces and moments at the steady state in the range of typical industrial polishing conditions.