• Title/Summary/Keyword: Branch

Search Result 6,907, Processing Time 0.04 seconds

Prevalence of honeybee (Apis mellifera) disease in Cheonan-Asan areas, Korea (천안·아산지역 양봉농가 꿀벌질병 감염률 조사)

  • Jeon, Dong-Min;Kim, Sun-Hee;Yook, Sim-Yong;Yeam, Nam-Hee;Do, Jin-Young;Song, Seo-Young;Heo, Eun-Jin;Sin, Chang-Ho
    • Korean Journal of Veterinary Service
    • /
    • v.36 no.2
    • /
    • pp.147-150
    • /
    • 2013
  • This study was carried out to investigate the prevalence of honeybee (Apis mellifera) disease in cheonan and asan area. From September to November in 2012, 33 samples were collected from 33 apiculture farms in the regions and reverse transcriptase-polymerase chain reaction (RT-PCR) and polymerase chain reaction (PCR) was conducted. Among 33 samples, prevalence rate was 42% in Sac Brood Virus (SBV), 52% in Nosema, 21% in American foulbrood (AFB), 70% in European foulbrood (EFB), 97% in Stonebrood, 3% in Chalkbrood. The result indicate that stonebrood was most prevalent disease in apiculture farms in cheonan and asan area.

Two-phase Flow Characteristics of Refrigerant in T-branch with Horizontal and Vertical Inlet Tube (T형 수평 및 수직 입구 분지관 내 냉매 2상 유동 특성)

  • 태상진;조금남
    • Korean Journal of Air-Conditioning and Refrigeration Engineering
    • /
    • v.14 no.9
    • /
    • pp.741-748
    • /
    • 2002
  • The present study investigated the two-phase flow characteristics of refrigerant R-22 in T-branch with horizontal and vertical inlet tube The key experimental parameters were the orientation of inlet and branch tubes (horizontal and vertical), diameter ratio of branch tube to inlet tube (1 and 0.61), inlet mass flux (200~500 kg/$m^2$s) and inlet quality (0.1~0.4). Predicted pressure profile agreed with the measured data within 25.4%. The flow distribution ratio decreased as the mass flux increased. The flow distribution ratio decreased by 12~25% as the tube diameter ratio decreased from 1 to 0.61, and decreased by 38~47% as the orientation of branch changed from horizontal to vertical upward for horizontal inlet tubes. As the orientation of inlet tube changed from horizontal to vertical upward for horizontal branch, the flow distribution ratio increased by 15~68%, but the quality in the branch tube decreased by 28~92% due to phase separation.

A Branch Target Buffer Using Shared Tag Memory with TLB (TLB 태그 공유 구조의 분기 타겟 버퍼)

  • Lee, Yong-Hwan
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • v.9 no.2
    • /
    • pp.899-902
    • /
    • 2005
  • Pipeline hazard due to branch instructions is the major factor of the degradation on the performance of microprocessors. Branch target buffer predicts whether a branch will be taken or not and supplies the address of the next instruction on the basis of that prediction. If the branch target buffer predicts correctly, the instruction flow will not be stalled. This leads to the better performance of microprocessor. In this paper, the architecture of a tag memory that branch target buffer and TLB can share is presented. Because the two tag memories used for branch target buffer and TLB each is replaced by single shared tag memory, we can expect the smaller ship size and the faster prediction. This hared tag architecture is more advantageous for the microprocessors that uses more bits of address and exploits much more instruction level parallelism.

  • PDF

Analysis of Anatomical Relationship between Stensen's Duct and Buccal Branch of Facial Nerve (이하선관과 안면신경의 협근지 사이의 해부학적 관계 분석)

  • Son, Eun Taik;Choi, Hwan Jun;Nam, Doo Hyun;Kim, Jun Hyuk;Lee, Young Man
    • Archives of Craniofacial Surgery
    • /
    • v.14 no.2
    • /
    • pp.102-106
    • /
    • 2013
  • Background: When using the anterior approach for performing superficial parotidectomy, the first thing to do is to find the buccal branch of the facial nerve and the parotid duct. The buccal branch usually runs transversely with the parotid duct from the anterior border of the parotid gland. We wanted to check the relationship between the two structures during the operation and to get clinically helpful information. Methods: Twelve patients with parotid mass were treated with superficial parotidectomy between May 2012 and August 2012. The outline of superficial and deep lobes of the parotid gland, parotid duct, and the buccal branch of the facial nerve were drawn on the transparent film by tracing the structures intraoperatively. Results: In 7 (58.3%) of 12 cases, the buccal branch of the facial nerve was located more caudally than the parotid duct at the anterior border of the superficial lobe of the parotid gland. In 3 cases (25%), the buccal branch was located more cephalically than the parotid duct. The mean distance between two structures were $2.54{\pm}1.48$ mm. In 11 cases, the parotid duct was located deeper than the buccal branch. Conclusion: The buccal branch of the facial nerve tends to be located more caudally than parotid duct and runs more superficially than parotid duct in all cases. We identified the relationship between the parotid duct and the buccal branch of the facial nerve during the operations on living subjects, not from the cadavers, so it would be a clinically helpful study which supplied more accurate anatomical information.

Performance Analysis on Soft Decision Decoding using Erasure Technique (COFDM 시스템에서 채널상태정보를 이용한 Viterbi 디코더)

  • 이원철
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.24 no.10A
    • /
    • pp.1563-1570
    • /
    • 1999
  • This paper relates to the soft decision method with erasure technique in digital terrestrial television broadcasting system. The proposed decoder use the CSI derived from using the pilots in receiver. The active real(I) and imaginary(Q) data are transferred to the branch metric calculation block that decides the Euclidean distance for the soft decision decoding and also the estimated CSI values are transferred to the same block. After calculating the Euclidean distance for the soft decision decoding, the Euclidean distance of branch metric is multiplied by CSI. To do so, new branch metric values that consider each carrier state information are obtained. We simulated this method in better performance of about 0.15dB to 0.17dB and 2.2dB to 2.9dB in Rayleigh channel than that of the conventional soft decision Viterbi decoding with or without bit interleaver where the constellation is QPSK, 16-QAM and 64-QAM.

  • PDF

Branch Prediction with Speculative History and Its Effective Recovery Method (분기 정보의 추측적 사용과 효율적 복구 기법)

  • Kwak, Jong-Wook
    • The KIPS Transactions:PartA
    • /
    • v.15A no.4
    • /
    • pp.217-226
    • /
    • 2008
  • Branch prediction accuracy is critical for system performance in modern microprocessor architectures. The use of speculative update branch history provides substantial accuracy improvement in branch prediction. However, speculative update branch history is the information about uncommitted branch instruction and thus it may hurts program correctness, in case of miss-speculative execution. Therefore, speculative update branch history requires suitable recovery mechanisms to provide program correctness as well as performance improvement. In this paper, we propose recovery logics for speculative update branch history. The proposed solutions are recovery logics for both global history and local history. In simulation results, our solution provides performance improvement up to 5.64%. In addition, it guarantees the program correctness and almost 90% of additional hardware overhead is reduced, compared to previous works.

Exploring Branch Structure across Branch Orders and Species Using Terrestrial Laser Scanning and Quantitative Structure Model (지상형 라이다와 정량적 구조 모델을 이용한 분기별, 종별 나무의 가지 구조 탐구)

  • Seongwoo Jo;Tackang Yang
    • Korean Journal of Agricultural and Forest Meteorology
    • /
    • v.26 no.1
    • /
    • pp.31-52
    • /
    • 2024
  • Considering the significant relationship between a tree's branch structure and physiology, understanding the detailed branch structure is crucial for fields such as species classification, and 3D tree modelling. Recently, terrestrial laser scanning (TLS) and quantitative structure model (QSM) have enhanced the understanding of branch structures by capturing the radius, length, and branching angle of branches. Previous studies examining branch structure with TL S and QSM often relied on mean or median of branch structure parameters, such as the radius ratio and length ratio in parent-child relationships, as representative values. Additionally, these studies have typically focused on the relationship between trunk and the first order branches. This study aims to explore the distribution of branch structure parameters up to the third order in Aesculus hippocastanum, Ginkgo biloba, and Prunus yedoensis. The gamma distribution best represented the distributions of branch structure parameters, as evidenced by the average of Kolmogorov-Smirnov statistics (radius = 0.048; length = 0.061; angle = 0.050). Comparisons of the mode, mean, and median were conducted to determine the most representative measure indicating the central tendency of branch structure parameters. The estimated distributions showed differences between the mode and mean (average of normalized differences for radius ratio = 11.2%; length ratio = 17.0%; branching angle = 8.2%), and between the mode and median (radius ratio = 7.5%; length ratio = 11.5%; branching angle = 5.5%). Comparisons of the estimated distributions across branch orders and species were conducted, showing variations across branch orders and species. This study suggests that examining the estimated distribution of the branch structure parameter offers a more detailed description of branch structure, capturing the central tendencies of branch structure parameters. We also emphasize the importance of examining higher branch orders to gain a comprehensive understanding of branch structure, highlighting the differences across branch orders.

A Branch Prediction Mechanism Using Adaptive Branch History Length (적응 가능한 분기 히스토리 길이를 사용하는 분기 예측 메커니즘)

  • Cho, Young-Il
    • Journal of the Institute of Electronics Engineers of Korea CI
    • /
    • v.44 no.1
    • /
    • pp.33-40
    • /
    • 2007
  • Processor pipelines have been growing deeper and issue widths wider over the years. If this trend continues, the branch misprediction penalty will become very high. Branch misprediction is the single most significant performance limiter for improving processor performance using deeper pipelining. Therefore, more accurate branch predictor becomes an essential part of modern processors. Several branch predictors combine a part of the branch address with a fixed amount of global branch history to make a prediction. These predictors cannot perform uniformly well across all programs because the best amount of branch history to be used depends on the program and branches in the program. Therefore, predictors that use a fixed history length are unable to perform up to their potential performance. In this paper, we propose a branch prediction mechanism, using variable length history, which predicts using a bank having higher prediction accuracy among predictions from five banks. Bank 0 is a bimodal predictor which is indexed with the 12 least significant bits of the branch address. Banks 1, 2, 3 and 4 are predictors which are indexed with different global history bits and the branch PC. In simulation results, the proposed mechanism outperforms gshare predictors using fixed history length of 12 and 13 , up to 6.34% in prediction accuracy. Furthermore, the proposed mechanism outperforms gshare predictors using best history lengths for benchmarks, up to 2.3% in prediction accuracy.

A Study on The Conditions of The Department Stores in Seoul -Emphasis on the Layout of the Fashion Zone and Brands- (국내 백화점의 패션매장 구성과 브랜드 전개현황 분석)

  • 유지헌
    • The Research Journal of the Costume Culture
    • /
    • v.9 no.3
    • /
    • pp.357-374
    • /
    • 2001
  • This study analyzed the trends of fashion market in 15 branch stores of 3 major department stores in Seoul. The purposes of this study were to classify fashion zone and brands in each floor of the department stores, and to analyze the rate which a fashion brand was located department store. The results were as follows : 1. There were sundry goods on main floor, women\`s clothings on 2-4th floor, sports & golf wears and infants & children\`s clothings from 6th floor to the top in most department stores. 2. Lotte Chamshil branch had the largest number of fashion brands in it, the nest was Lotte Main store and followed by Hyundai Chunhoe branch, Shinsegae Gangnam branch, Hunndai Shinchun branch, Lotte Gangnam branch, Hyundai Main store, Hyundai Muyeuk-Center branch, and Lotte Youngdeungpo branch, etc. 3. The fashion categories of the Lotte Department stores were segmented as the Casuals (character, young, young basic, career, town, jean, city), Young worlds, Imported beautique, Madams, Designers(beautique), Intelligences, Unisex, Ladies formal wears, the Seasonables, and the Formals, Missy Careers. This was the most various fashion market segments among 3 major department stores. This store had 667 Women\`s fashion and Casual brands. The Chamshil branch and Main store were intensified the Casual & sundry goods on 5th floor. 4. The fashion categories of the Hundai Department stores were segmented as Women\`s wears, Women\`s casuals, Young-Adult, Young live, Women\`s former wears, Royal beautique and Young characters. It was less segmented than other Department stores. Total number of Women\`s fashion and casual brands were 471 brands. The market segmentation of fashion zone was well done at Chunho branch and Shinchun branch. It was intensified that Fashion sundry goods at Muyeuk-Center branch and The Women and Young fashion zone at Chunho branch. 5. The fashion categories of the Shinsaegae Department stores were segmented as Casuals (young, young character, X-, missy, career, character), Imported beautique, Designer\`s characters, Young basics, Elegance, Missy, Young weave, Original brands. This store had 304 Women\`s fashion and Casual brands. Shinsaegae has also developed it\`s own brand(PB items) and classified as the Original zone which differentiated it from other Departments. 6. The Deco was the most popular brand in the department stores, the next were Micha/Botticelli, and followed by Darks/System/lzzat Baba, Givy/Obzee/Lee won jae/Kim yeon Joo, and so on. The target of 6 out of 10 brands which were included in here were career women of age 20 to 30 ages. The price rate were from 200,000 won to 300,000 won.

  • PDF

Prevalence of parasite infection of poultry in Chonbuk area (가금의 장내 기생충 감염실태)

  • Yang Hong-Ji;Seo Chang-Sub;Yoon Yea-Baek;Park Tae-Wook;Choi Eun-Young;Kim Youn-Tae
    • Journal of the korean veterinary medical association
    • /
    • v.30 no.11
    • /
    • pp.679-688
    • /
    • 1994
  • In order to monitor the parasites, fecal samples were taken from chicken (n=1,000), turkey(n=157), helmeted guineafowl(n=149), pheasant(n=190) and duck(n=190) in Chonbuk area. The identification of the parasites were determined by the fecal examination us

  • PDF