• 제목/요약/키워드: 3-D Die Design

검색결과 232건 처리시간 0.027초

System-Driven Approaches to 3D Integration

  • Beyne Eric
    • 한국마이크로전자및패키징학회:학술대회논문집
    • /
    • 한국마이크로전자및패키징학회 2005년도 ISMP
    • /
    • pp.23-34
    • /
    • 2005
  • Electronic interconnection and packaging is mainly performed in a planar, 2D design style. Further miniaturization and performance enhancement of electronic systems will more and more require the use of 3D interconnection schemes. Key technologies for realizing true 3D interconnect schemes are the realization of vertical connections, either through the Si-die or through the multilayer interconnect with embedded die. Different applications require different complexities of 3D-interconnectivity. Therefore, different technologies may be used. These can be categorized as a more traditional packaging approach, a wafer-level-packaging, WLP ('above' passivation), approach and a foundry level ('below' passivation) approach. We define these technologies as respectively 3D-SIP, 3D-WLP and 3D-SIC. In this paper, these technologies are discussed in more detail.

  • PDF

자동화 모듈을 활용한 브라켓의 3D 설계에 관한 연구 (Study on the 3D Design of Bracket Utilizing Automation Module)

  • 최계광;이동천
    • 한국산학기술학회:학술대회논문집
    • /
    • 한국산학기술학회 2008년도 추계학술발표논문집
    • /
    • pp.450-452
    • /
    • 2008
  • 본 논문에서는 자동화 모듈인 씨마트론 다이 디자인을 활용하여 자동차에 사용되는 브라켓을 3D로 설계를 하였다. 제품의 스탬핑을 원활하게 하기 위하여 스트립 레이아웃을 일부 수정하여 광폭 2열 2개뽑기의 내측캐리어를 단 배열로 블랭크 레이아웃을 최적화하였다. 사용된 3D CAD/CAM 소프트웨어는 Cimatron Die Design이며 11개 공정으로 3D 설계를 완성하였다.

  • PDF

슬래브법을 이용한 회전 다이 플랜지 업세팅 공정 해석 (An analysis of torsional flange-upsetting process based on slab method)

  • 박재훈
    • Design & Manufacturing
    • /
    • 제18권2호
    • /
    • pp.29-34
    • /
    • 2024
  • This study intends to reduce forming load by adding die rotation to flange-upsetting process. Materials arc formed by the compression and rotational torque which are accrued from rotation of the lower die accompanied by axial compression of the punch. For the theoretic analysis of flange-upsetting process using rotation die, slab method was used. Furthermore, for the verification of the theoretic analysis results, FEM simulation using DEFORM 3D a commercial software was done, and through the model material experiment using Prasticine, the results were compared and reviewed. Flange-upsetting process using rotation die shows reduced forming load compared with process without die rotation and demonstrates uniform distribution of strain. And as for the effect of the reduction of forming load, the less the aspect ratio(h0/d0) and the greater friction coefficient, the greater effect is. With increase in die rotation velocity, the effect of forming load reduction also increases little by little, but its effect on forming load reduction is very negligible compared with other forming parameters. Theoretic analysis results and simulation results coincided pretty well. The flange-upsetting process using die rotation are evaluated as useful process that can produce reduction of forming load and uniform strain.

3차원 CAD/CAM을 활용한 액정용 실드 케이스의 스트립 레이아웃 설계에 관한 연구 (Study on The Strip Layout Design of LCD Shield Case Using 3D CAD/CAM)

  • 최계광
    • 한국산학기술학회논문지
    • /
    • 제8권5호
    • /
    • pp.979-983
    • /
    • 2007
  • 본 논문에서는 액정용 실드 케이스 (Shield Case)의 스트립 레이아웃 도 작성하였다. 프레스 금형에 있어서 스트립 레이아웃 도는 제품 양산을 결정하는 중요 요인이다. 장착되는 다른 부품과의 간섭요인을 용이하게 수정하기 위하여 3D CAD/CAM 시스템을 적용하였다. 블랭크 레이아웃을 최적화하고 광폭배열로 스트립 레이아웃 하여 재료 이용률을 60.17%로 향상시켰다. 또한 얇은 소재두께로 인해 변형 발생이 예상되는 노칭부위에 집중적으로 비딩공정을 추가하여 제품의 평탄도를 요구사항에 맞게 적용하였다. 사용된 3D CAD/CAM 소프트웨어는 Unigraphics NX 3.0이며 12개 공정으로 스트립 레이아웃 도를 작성하였다.

  • PDF

파인블랭킹 공정에서의 곡률부 다이롤 감소를 위한 전단 공정 설계 (Design of shearing process to reduce die roll in the curved shape part of fine blanking process)

  • 전용준
    • Design & Manufacturing
    • /
    • 제17권3호
    • /
    • pp.15-20
    • /
    • 2023
  • In the fine blanking process, which is a press operation known for producing parts with narrow clearances and high precision through the application of high pressure, die roll often occurs during the shearing process when the punch penetrates the material. This die roll phenomenon can significantly reduce the functional surface of the parts, leading to decreased product performance, strength, and fatigue life. In this research, we conducted an in-depth analysis of the factors influencing die roll in the curvature area of the fine blanking process and identified its root causes. Subsequently, we designed and experimentally verified a die roll reduction process specifically tailored for the door latch manufacturing process. Our findings indicate that die roll tends to increase as the curvature radius decreases, primarily due to the heightened bending moment resulting from reduced shape width-length. Additionally, die roll is triggered by the absorption of initial punch energy by scrap material during the early shearing phase, resulting in lower speed compared to the product area. To mitigate the occurrence of die roll, we strategically selected the Shaving process and carefully determined the shaving direction and clearance area length. Our experiments demonstrated a promising trend of up to 75% reduction in die roll when applying the Shaving process in the opposite direction of pre-cutting, with the minimum die roll observed at a clearance area length of 0.2 mm. Furthermore, we successfully implemented this approach in the production of door latch products, confirming a significant reduction in die roll. This research contributes valuable insights and practical solutions for addressing die roll issues in fine blanking processes.

로봇형상 플라스틱금형설계 및 제작에 관한 연구 (A study on plastic mold design for robot shape and mold manufacture)

  • 김세환;최계광
    • Design & Manufacturing
    • /
    • 제6권2호
    • /
    • pp.64-69
    • /
    • 2012
  • This study looks at plastic mold design for robots and mold manufacture, which is an injection mold branch at The Korea-China-Japan University Grand Prize Contest. Product analysis and layout, molding analysis, and upper and lower core design are carried out to design molds in 2D and 3D. After the design of the cores, NC machining software is used for simulation before actual manufacture. Before the production of end-product, test injection is done to troubleshoot problems like bad dimensions, burr, cracks and stepped pulley.

  • PDF

대형 압축기 휠의 열간단조 공정설계 (Hot Forging Design for a Large Scale Compressor Wheel)

  • 임정숙;염종택;김현규;박노광
    • 한국소성가공학회:학술대회논문집
    • /
    • 한국소성가공학회 2003년도 추계학술대회논문집
    • /
    • pp.47-50
    • /
    • 2003
  • Hot-forging Process and die design was made for a large-scale compressor wheel of Ti-6Al-4V alloy with 2-D FE analysis. The design integrated the geometry-controlled approach and dynamic materials modelling(DMM). In order to obtain the processing contour map of Ti-6Al-4V alloy based on DMM, compression tests were carried out in the temperature range of 915$^{\circ}C$ to 1015$^{\circ}C$ and the strain range of 10$\^$-3/s$\^$-1/ to 10s$\^$-1/. In the die design of the compressor wheel using the rigid-plastic FE analysis, forging dimensional accuracy, the capacity of the forging machine and defect-free forging were considered as main design factors. The microstructure of hot forged wheel using the designed die showed a typical alpha-beta structure without forging-defects.

  • PDF

Unigraphics NX4.0의 PDW를 활용한 픽업 프레임 스트립 레이아웃 설계에 관한 연구 (Study on the Design of Pickup Frame Strip Layout Utilizing PDW of Unigraphics NX4.0)

  • 최계광;김세환
    • 한국산학기술학회논문지
    • /
    • 제9권3호
    • /
    • pp.569-573
    • /
    • 2008
  • 본 논문에서는 픽업프레임의 스트립 레이아웃 도를 작성하였다. 프레스 금형에 있어서 스트립 레이아웃 도는 제품 양산을 결정하는 중요 요인이다. 장착되는 다른 부품과의 간섭요인을 용이하게 수정하기 위하여 3D CAD/CAM 시스템을 적용하였다. 블랭크 레이아웃을 최적화하고 광폭배열로 스트립 레이아웃 하여 재료 이용률을 28.49%로 향상시켰다. 사용된 3D CAD/CAM 소프트웨어는 Unigraphics NX 4.0이며 12개 공정으로 스트립 레이아웃 도를 작성하였다.

모듈화 개념을 도입한 하이브리드 다이 템플릿 (Modular based hybrid die template)

  • 박홍석;정진형;이규봉
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2005년도 춘계학술대회 논문집
    • /
    • pp.698-701
    • /
    • 2005
  • Nowadays, because of the frequent market change and variable customer's requirements, product's life-circle is becoming shorter. So, automobile companies are forced to rapidly develop new products and efficiently reduce both manufacturing lead time and cost, with the world level quality. Among them, Press Die is not only just a bottle neck but also an important resource exerting certain effect in quality of car body appearance. Since 2000, due to efforts to reduce the time of design phase, many companies have used 3D design methods. Actually the method didn't reduce the period of design because the company didn't develop the best practice. This paper wish to solve this problem by modular based hybrid dies template.

  • PDF

Prediction of Welding Pressure in the Non Steady State Porthole Die Extrusion of Al7003 Tubes

  • Jo, Hyung-Ho;Lee, Jung-Min;Lee, Seon-Bong;Kim, Byung-Min
    • International Journal of Precision Engineering and Manufacturing
    • /
    • 제4권3호
    • /
    • pp.36-41
    • /
    • 2003
  • This paper describes a numerical analysis of a non-steady state porthole die extrusion, which is useful for manufacturing long tubes with a hollow section. Materials divided through several portholes are gathered within a chamber and are then welded under high pressure. This weldability classifies the quality of tube products and is affected by process variables and die shapes. However, porthole die extrusion has been executed based on the experience of experts, due to the complicated die assembly and the complexity of metal flow. In order to better assist the design of die and to obtain improvement of productivity, non-steady state 3D FE simulation of porthole die extrusion is required. Therefore, the objective of this study is to analyze the behavior of metal flow and to determine the welding pressure of hot extrusion products under various billet temperatures, bearing length, and tube thickness by FE analysis. The results of FE analysis are compared with those of experiments.