• Title/Summary/Keyword: 3-D Die Design

Search Result 231, Processing Time 0.031 seconds

The Effects of Die Design and Die Series on the Surface Residual Stress of Cold Drawn Eutectoid Steel Wire (고탄소강 와이어의 냉간 인발시 다이 디자인과 다이 시리즈가 표면 잔류 응력에 미치는 영향)

  • Bae S. G.;Yang Y. S.;Ban D. Y.;Park C. G.
    • Proceedings of the Korean Society for Technology of Plasticity Conference
    • /
    • 2005.10a
    • /
    • pp.418-422
    • /
    • 2005
  • In this study, the die design and die series on the surface residual stress of cold drawn eutectoid steel wire has been investigated. Test pieces were fabricated using die series with different mean and final reduction ratio. Surface residual stresses in the axial direction were measured by X-ray diffraction, Broker's 2-dimensional GADDS system. Results were compared with stress profiles which were calculated by 3D and 2D finite element simulation, Hibbitt's ABAQUS 6.4 program in Finite Element Analysis. By means of FEA method, optimal die shape considering delta-parameter were induced and applied in order to determine die sequence designs. Balance of the drawing stresses was also introduced to optimize die sequence.

  • PDF

The Effects of Die Design and Die Series on the Surface Residual Stress of Cold Drawn Eutectoid Steel Wire (고탄소강 와이어의 냉간 인발 시 다이 디자인과 다이 시리즈가 표면 잔류 응력에 미치는 영향)

  • Bae, J.G.;Yang, Y.S.;Ban, D.Y.;Park, C.G.
    • Transactions of Materials Processing
    • /
    • v.15 no.2 s.83
    • /
    • pp.153-157
    • /
    • 2006
  • In this study, the die design and die series on the surface residual stress of cold drawn eutectoid steel wire have been investigated. Test pieces were fabricated using die series with different mean and final reduction ratios. Surface residual stresses in the axial direction were measured by X-ray diffraction, Bruker's 2-dimensional GADDS system. The results were compared with stress profiles that were calculated by 3D and 2D finite element simulations, ABAQUS 6.4 program in finite element analysis(FEA). By means of the FEA method, optimal die shape considering delta-parameter were induced and applied in order to determine die sequence designs. Balance of the drawing stresses was also introduced to optimize die sequence.

A Study on the Automation of Manufacturing and production Process for Press Die (프레스 금형의 가공 및 제작 공정의 자동화에 관한 연구)

  • Choi, Kye-Kwang;Kim, Sei-Hwan
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.11 no.11
    • /
    • pp.4108-4114
    • /
    • 2010
  • The automation of the machining and manufacturing process of press die is designed to shorten the working time by avoiding unnecessary repetitive works and to obtain subject articles with standard quality. Automation as used in this paper includes 3-D die design, machining center, wire-cut electrical discharge machining, and drawing works. This paper deals with research work on the automation of the machining and manufacturing process of the press die after 3-D die design using the Irp bracket for the control box sensor. The research was conducted under the same setting as that of die design.

IEEE 1500 Wrapper Design Technique for Pre/Post Bond Testing of TSV based 3D IC (TSV 기반 3D IC Pre/Post Bond 테스트를 위한 IEEE 1500 래퍼 설계기술)

  • Oh, Jungsub;Jung, Jihun;Park, Sungju
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.50 no.1
    • /
    • pp.131-136
    • /
    • 2013
  • TSV based 3D ICs have been widely developed with new problems at die and IC levels. It is imperative to test at post-bond as well as pre-bond to achieve high reliability and yield. This paper introduces a new testable design technique which not only test microscopic defects at TSV input/output contact at a die but also test interconnect defects at a stacked IC. IEEE 1500 wrapper cells are augmented and through at-speed tests for pre-bond die and post-bond IC, known-good-die and defect free 3D IC can be massively manufactured+.

Study on die plate design and machining using the 3D CAD/CAM system (3D CAD/CAM을 활용한 다이 플레이트의 설계 및 가공)

  • Chio Kye-Kwang
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.7 no.4
    • /
    • pp.550-553
    • /
    • 2006
  • This study used the 3D CAD/CAM system in manufacturing die plates to improve productivity. UG NX 3.0 was used as 3D CAD/CAM software, with the created NC code transmitted to the CNC Milling and Wire Cut Electric Discharge machine. The die plate was then automatically machined.

  • PDF

Development of a Cooling Circuit Design System for Injection Molding Die of Vehicular Lamp (자동차 램프 사출금형 냉각회로 설계지원 시스템 개발)

  • Cho, Hyeon-Uk;Park, Jung-Whan;Park, Soo-Jung;Shin, Dong-Jin;Lee, Seok-Jung
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.29 no.2
    • /
    • pp.185-192
    • /
    • 2012
  • The paper presents the development of a cooling circuit design system that automatically creates 3D cooling circuit on a given section plane conforming to design specifications, generates 3D solid model of cooling line segments defined on a 2D sketch plane, and verifies interference of 3D cooling channel with the molding die surface. The system was developed mainly for designing plastic injection molding die of vehicular lamp, which helps the mold designer to rapidly construct cooling circuits but also reduce designer's unintended mistakes by conforming to the dimensional design specifications. It is used by an injection molding die manufacturing company in Korea, and reported approximately 20% reduction of cooling channel design time.

3D scanning based mold correction for planar and cylindrical parts in aluminum die casting

  • Seno, Takashi;Ohtake, Yutaka;Kikuchi, Yuji;Saito, Noriaki;Suzuki, Hiromasa;Nagai, Yukie
    • Journal of Computational Design and Engineering
    • /
    • v.2 no.2
    • /
    • pp.96-104
    • /
    • 2015
  • Aluminum die casting is an important manufacturing process for mechanical components. Die casting is known to be more accurate than other types of casting; however, post-machining is usually necessary to achieve the required accuracy. The goal of this investigation is to develop machining- free aluminum die casting. Improvement of the accuracy of planar and cylindrical parts is expected by correcting metal molds. In the proposed method, the shape of cast aluminum made with the initial metal molds is measured by 3D scanning. The 3D scan data includes information about deformations that occur during casting. Therefore, it is possible to estimate the deformation and correction amounts by comparing 3D scan data with product computer-aided design (CAD) data. We corrected planar and cylindrical parts of the CAD data for the mold. In addition, we corrected the planar part of the metal mold using the corrected mold data. The effectiveness of the proposed method is demonstrated by evaluating the accuracy improvement of the cast aluminum made with the corrected mold.

Development of Die Design System for Die Casting (다이캐스팅 금형 설계 시스템 개발)

  • 권택한;박준홍;최재찬;김재훈;김창호
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2000.11a
    • /
    • pp.316-321
    • /
    • 2000
  • Die Casting is one of the forming methods to manufacture large number of products with short period time and clean surface by high forming pressure and temperature of cast alloy. Die design is composed of selection of cast alloy, design of die casting product, runner and gate design etc. In reality, however, die design of die casting has been performed by trial and error method, which cause economic and time loss. This paper describes a research work of developing computer-aided design of die casting product and die design. Approach to the CAD system has been written in AutoLISP on the AutoCAD with personal computer. In this study, die design system for gate of die casting process has been developed to present algorithm for automation of die design, especially runner-gate system. As forming process and die design system using 3-D geometry handling are integrated with technology of process planning, die design is possible to set. In addition, specific rules and equations for the runner-gate system have been presented to avoid too many trails and errors with expensive equipment. It is possible for engineers to make automatic and efficient die design of die casting and it will result in reduction of expense and time to be required. An example is applied to cap-shaped casting using proposed algorithm.

  • PDF

A Study on Design Automation of Cooling Channels in Hot Form Press Die Based on CATIA CAD System (CATIA CAD 시스템 기반 핫폼금형의 냉각수로 설계 자동화에 관한 연구)

  • Kim, Gang-Yeon;Park, Si-Hwan;Kim, Sang-Kwon;Park, Doo-Seob
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.19 no.3
    • /
    • pp.147-154
    • /
    • 2018
  • This paper focuses on the development of a support system that can rapidly generate the design data of a hot-form die with cooling channels, commonly known as hot stamping technology. We propose a new process for designing hot-form dies based on our (automated) system, whose main features are derived from the analysis of the design requirements and design process in the current industry. Our design support system consists of two modules, which allow for the generation of a 3D geometry model and its 2D drawings. The module for 3D modeling automation is implemented as a type of CATIA template model based on CATIA V5 Knowledgeware. This module automatically creates a 3D model of a hot-form die, including the cooling channels, that depends on the shape of the forming surface and the number of STEELs (subsets of die product) and cooling channels. It also allows for both the editing of the positions and orientations of the cooling channels and testing for the purpose of satisfying the constraints on the distance between the forming surface and cooling channels. Another module for the auto-generation of the 2D drawings is being developed as a plug-in using CAA (CATIA SDK) and Visual C++. Our system was evaluated using the S/W test based on a user defined scenario. As a result, it was shown that it can generate a 3D model of a hot form die and its 2D drawings with hole tables about 29 times faster than the conventional manual method without any design errors.

A study on the strip layout design of smart phone main board cover using reverse engineering (역설계를 이용한 스마트폰 메인보드 커버의 스트립 레이아웃 설계에 관한 연구)

  • Choi, Young-Rock;Kim, Dong-Wook;Kim, Sei-Hwan;Choi, Kyu-Kwang
    • Design & Manufacturing
    • /
    • v.9 no.3
    • /
    • pp.29-33
    • /
    • 2015
  • In order to design progressive die many processes are needed and it takes a lot of time to get a final product. In this study, we reduced design processes by using reverse engineering and studied on strip layout design which is the core part of die design. And we got a optimized strip layout design by CimatronE Die Design which is the 3D design program. In this strip layout design we obtained the more effective methode by using reverse engineering.

  • PDF