• 제목/요약/키워드: 식각 마스크 특성

검색결과 69건 처리시간 0.029초

유도결합 $Cl_2/CHF_3, Cl_2/CH_4, Cl_2/Ar $플라즈마를 이용한 InGaN 건식 식각 반응 기구 연구

  • 이도행;김현수;염근영;이재원;김태일
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.249-249
    • /
    • 1999
  • GaN과 같은 III-nitride 반도체 관한 식각 기술의 연구는 blue-emitting laser diode(LD)를 위한 경면(mirror facet)의 형성뿐만아니라 새로운 display 용도의 light emitting diodes (LED), 고온에서 작동되는 광전소자 제조 등에도 그 중요성이 증대되고 있다. 최근에는 III-nitride 물질의 높은 식각속도와 미려하고 수직한 식각형상을 이루기 위하여 ECR(Electron Cyclotron Resonance)이나 ICP(Inductively Coupled Plasma)와 같은 고밀도 플라즈마 식각과 CAIBE(Chemically assisted ion beam etching)를 이용한 연구가 진행되고 있다. 현재 제조되어 지고 있는 LED 및 LD와 같은 광소자의 구조의 대부분은 p-GaN/AlGaN/InGaN(Q.W)/AlGaN/n-GaN 와 같은 여러 층의 형태로 이루어져 있다. 이중 InGaN는 광소자나 전자소자의 특성에 영향을 주는 가장 중요한 부분으로써 현재까지 보고된 식각연구는 undoped GaN에 대부분 집중되고 있고 이에 비해 소자 특성에 핵심을 이루는 InGaN의 식각특성에 관한 연구는 미흡한 상황이다. 본 연구에서는 고밀도 플라즈마원인 ICP 장비를 이용하여 InGaN를 식각하였고, 식각에는 Cl2/CH4, Cl2/Ar 플라즈마를 사용하였다. InGaN의 식각특성에 영향을 미치는 플라즈마의 특성을 관찰하기 위하여 quadrupole mass spectrometry(QMS)와 optical emission spectroscopy(PES)를 사용하였다. 기판 온도는 5$0^{\circ}C$, 공정 압력은 5,Torr에서 30mTorr로 변화시켰고 inductive power는 200~800watt, bias voltage는 0~-200voltage로 변화시켰으며 식각마스크로는 SiO2를 patterning 하여 사용하였다. n-GaN, p-GaN 층 이외에 광소자 제조시 필수적인 InGaN 층을 100% Cl2로 식각한 경우에 InGaN의 식각속도가 GaN에 비해 매우 낮은 식각속도를 보였다. Cl2 gas에 소량의 CH4나 Ar gas를 첨가하는 경우와 공정압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%Ar 플라즈마에서 공정 압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%CHF3 와 Cl2/10%Ar 플라즈마에서 공정압력을 15mTorr로 감소시키는 경우 InGaN과 GaNrks의 선택적인 식각이 가능하였다. InGaN의 식각속도는 Cl2/Ar 플라즈마의 이온에 의한 Cl2/CHF3(CH4) 플라즈마에서의 CHx radical 형성에 의하여 증가하는 것으로 사료되어 진다.

  • PDF

$C_2F_6$ 유도 결합 플라즈마를 이용한 질산화막 식각공정에 관한 연구 (A study on etching of SiON films using $C_2F_6$ inductively coupled plasma)

  • 이덕우;김병환;이병택
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.155-158
    • /
    • 2004
  • 질산화 (SiON) 막은 메모리와 광통신 소자 제조를 위해 활발한 응용이 기대되는 중요한 재료이다. SiON막 증착특성에 관해서는 많은 연구보고가 있었으나, 식각특성에 대해서는 그 발표가 매우 미미하다. 이에 본 연구에서는 PECVD를 이용하여 증착한 SION 박막을 Ni 마스크를 이용하여 식각하였다. 공정변수에는 소스 전력, 바이어스 전력, 압력, 그리고 $C_2F_6$ 유량 등이며, 각 변수의 실험범위는 400-1000 W, 30-90 W, 6-12 mTorr, 그리고 30-80 sccm이다. 식각률은 소스전력의 증가에 따라 233 에서 444 nm/min으로 거의 선형적으로 증가하였다. 비슷한 경향성이 바이어스 전력의 증가에 따라 관찰되었다. 이는 식각률이 플라즈마 밀도와 이온충돌 에너지에 강하게 영향을 받고 있음을 의미한다. 6-10 mTorr의 압력범위와 30-50 sccm의 $C_2F_6$ 유량범위 내에서의 식각률의 변화는 매우 미미하였다. 그러나 고압 (12 mTorr)과 고 유량 (60 sccm)에서 식각률은 크게 상승하거나 감소하였다. 전체 실험범위에서 관측된 식각률의 범위는 233-444 nm/min이었다.

  • PDF

Probe Pitch에 따른 Si 식각 특성 연구

  • 한석만;신재철;고항주;한명수
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.316-316
    • /
    • 2012
  • 본 연구에서는 Si wafer에 마스크 공정 및 Slit-etching 공정을 적용하여 25 um 피치의 probe unit을 개발하기 위해 Deep Si Etching 장비를 이용하여 식각공정 조건에 따른 특성을 평가하였다. 25 um pitch는 etch 폭의 크기에 따라 3종류로 설계하였으며, 식각공정은 2수준, 4인자 실험계획법에 의해 8회 실험을 수행하였다. 실험계획법에 의해 미니탭을 활용하여 최적조건을 구한 결과 12.5 um etch 폭에서는 가스유량은 200 sccm, 에칭시간 7 sec, 코일 파워 1500W, 에칭 압력은 43.7 mtorr의 조건이 etch 형태 및 profile angle이 목표치에 근접한 결과를 얻었다. 또한 probe pitch를 30~60 um까지 증가시켰을 경우 Etch depth는 증가하였으며, 식각율 또한 증가한 현상을 보였다. 재현성 실험을 위해 위의 최적조건을 이용하여 2회 반복하여 실험한 경우 모든 시편이 목표치에 도달하였다. 이는 미세피치화 되는 프로브 유닛의 기초데이터로 활용될 수 있다.

  • PDF

고밀도 유도 결합형 플라즈마를 이용한 Mo 건식 식각 특성

  • 성연준;이도행;이용혁;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.126-126
    • /
    • 1999
  • 본 실험의 목적은 FED의 상부, 하부 전극으로 사용되는 Mo를 건식, 습식 식각함으로써 DED 소자의 공정을 개발하는 것이다. Mo는 $261^{\circ}C$의 높은 융점을 지니고 있으며, 우수한 열적 안정성과 비교적 낮은 비저항을 가지는 재료로써 FED와 같은 전계 방출 소자의 cathod 팁 및 전극물질로 사용되어지는 가장 보편적인 물질이다. FED와 같은 전계방출소자가 갖추어야 할 요건은 전자 방출 영역이 소자 동작시 변형되지 않아야 하고, 기계적 ,화학적, 열적 내구성이 좋아야 함인데 이러한 요건을 충족시킬 수 있고 가장 범용적으로 사용되는 물질이 Mo이다. 실험에서 사용된 Mo는 DC magnetron sputter를 사용하여 Ar 가스를 첨가하여 5mTorr하에서 Si 기판위에 증착속도를 300$\AA$/min로 하여 1.6$\mu\textrm{m}$ 증착하였다. 본 실험의 Mo 식각은 고밀도 플라즈마원인 ICP를 이용하였다. 식각특성은 식각 가스조합, inductive power, bias voltage, 공정 압력의 다양한 공정 변수에 따른 식각특성 변화를 관찰하였다. 식각시 chlorine 가스를 주요 식각 가스로 사용하고 BCl3, O2, Ar을 첨가가스로 사용하였으며, inductive power는 300-600, bias voltage는 120-200V 사용하였고 압력은 15-30mTorr, 기판온도는 7$0^{\circ}C$로 유지하였으며 식각마스크로는 electron-beam evaporator로 1$\mu\textrm{m}$ 증착한 SiO2를 patterning하여 사용하였다. 식각속도는 stylus profiler를 이용하여 측정하였으며 식각후 profile은 scanning electron microscopy (SEM)을 통하여 관찰하였다. 실험 결과 순수한 Cl2 BCl3 가스만을 사용한 경우 보다는 Cl2 가스에 O2를 첨가하였을 때 좋은 선택비를 얻었다. 또한, inductive power와 bias voltage, Mo의 식각속도의 적절한 조절을 통해 SiO2에 대한 선택도를 변화시킬 수 있었다. Cl2:O2비를 1:1로 하고 400W/-150V, 20mTorr의 압력, 7$0^{\circ}C$ 기판온도에서 식각시 200$\AA$/min의 Mo 식각속도, SiO2와의 선택비 8:1을 얻을 수 있었다. 또한 실제 FED 소자 구조형성에 적용한 결과 비등방적인 식각형상을 형성할 수 있었다.

  • PDF

고밀도 유도결합형 $Cl_2/BCL_3/Ar$ 플라즈마를 이용한 sapphire의 식각 특성

  • 성연준;이용혁;김현수;염근영;이재원;채수희;박용조
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2000년도 제18회 학술발표회 논문개요집
    • /
    • pp.31-31
    • /
    • 2000
  • Al2O3는 높은 화학적, 열적 안정성으로 인하여 미세전자 산업에서 절연막이나 광전자소자의 재료로써 널리 이용되고 있다. 특히, 사파이어는 고위도의 LED, 청색 LD의 재료인 GaN 계열의 III-Nitride 물질을 성장시킬 때 필요한 기판으로 보편적으로 사용되고 있다. 이러한 GaN계열의 광소자 제조에서 사파이어 기판을 적용시 지적되는 문제점들 중의 하나는 소자제조 후 사파이어의 결정 구조 및 높은 경도에 의해 나타나는 cutting 및 backside의 기계적 연마가 어렵다는 것이다. 최근에는 이온빔 식각이나 이온 주입 후 화학적 습식 시각, reactive ion etching을 통한 사파이어의 건식 식각이 소자 분리 및 backside 공정을 우해 연구되고 있다. 그러나 이러한 방법을 이용한 사파이어의 식각속도는 일반적으로 15nm/min 보다 작다. 높은 식각율과 식각후 표면의 작은 거칠기를 수반한 사파이어의 플라즈마 식각은 소자 제조 공정시 소자의 isolation 및 lapping 후 연마 공정에 이용할 수 있다. 본 연구에서는 평판 유도결합형 플라즈마를 이용하여 Cl2/BCL3/Ar 의 가스조합, inductive power, bias voltage, 압력, 기판온도의 다양한 공정 변수를 통하여 (0001) 사파이어의 식각특성을 연구하였다. 사파이어의 식각속도는 inductive power, bias voltage, 그리고 기판 온도가 증가할수록 증가하였으며 Cl2에 BCl3를 50%이하로 첨가할 때 BCl3 첨가량이 증가할수록 식각속도 및 식각마스크(photoresist)와의 식각선택비가 증가하는 것을 관찰하였다. 또한, Cl3:BCl3=1:1의 조건에 따라 Ar 첨가에 따른 식각속도 및 표면 거칠기를 관찰하였다. 본 연구의 최적 식각조건인 40%Cl2/40%BCl3/20%Ar, 600W의 inductive power, -300V의 bias voltage, 30mTorr의 압력, 기판온도 7$0^{\circ}C$에서 270nm/min의 사파이어 식각속도를 얻을수 있었다. 그리고 이러한 식각조건에서 표면의 거치기를 줄일수 있었다. 사파이어 식각은 보편적인 사파이어 lapping 공정시 수반되어 형성된 표면의 거치기를 줄이기 위한 마지막 공정에 응용될수 있다. 사파이어의 식각시 나타나는 식각 부산물은 플라즈마 진단방비인 optical emission spectroscopy (OES)를 통하여 관찰하였고, 식각시 사파이어의 표면성분비 변화 및 표면의 화학적 결합은 X-ray photoelectron spectroscopy(XPS)를 사용하여 측정하였다. 시각 전, 후의 표면의 거칠기를 scanning electron microscopy(SEM)을 통하여 관찰하였다.

  • PDF

LCD 제조 공정 개발 (The Development of the Process for LCD Fabrication)

  • 허창우
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국해양정보통신학회 2008년도 추계종합학술대회 B
    • /
    • pp.583-587
    • /
    • 2008
  • 본 연구는 LCD 용 비정질 실리콘 박막 트랜지스터의 제조공정에서 가장 중요한 광 식각 공정을 중심으로 전체 공정을 개발하고, 공정의 안정성을 개선하여 소자의 신뢰성을 높이고자 한다. 본 연구의 수소화 된 비정질 실리콘 박막 트랜지스터는 Inverted Staggered 형태로 게이트 전극이 하부에 있다. 실험 방법은 게이트전극, 절연층, 전도층, 에치스토퍼 및 포토레지스터층을 연속 증착한다. 스토퍼층을 게이트 전극의 패턴으로 남기고, 그 위에 $n^+a-Si:H$ 층 및 NPR(Negative Photo Resister)을 형성시킨다. 상부 게이트 전극과 반대의 패턴으로 NPR층을 패터닝하여 그것을 마스크로 상부 $n^+a-Si:H$ 층을 식각하고, 남아있는 NPR층을 제거한다. 그 위에 Cr층을 증착한 후 패터닝하여 소오스-드레인 전극을 위한 Cr층을 형성시켜 박막 트랜지스터를 제조한다. 여기서 각 박막의 패터닝은 광 식각 공정으로 각 단위 박막의 특성에 맞는 광식각 공정이 필요하다. 제조한 박막 트랜지스터에서 가장 흔히 발생되는 문제는 주로 광식각공정시 발생하며, PR의 잔존이나 세척 시 얇은 화학막이 표면에 남거나 생겨서 발생되기도 하며, 이는 소자를 파괴시키는 주된 원인이 될 수 있다. 이와 같이 공정에 보다 엄격한 기준의 PR 패터닝, 박막의 식각 그리고 세척 등의 처리공정을 정밀하게 조절하여 소자의 특성을 확실히 개선 할 수 있었다.

  • PDF

실리콘 건식식각과 습식식각을 이용한 신경 신호 기록용 탐침형 반도체 미세전극 어레이의 제작 (Fabrication of Depth Probe Type Semiconductor Microelectrode Arrays for Neural Recording Using Both Dry and wet Etching of Silicon)

  • 신동용;윤태환;황은정;오승재;신형철;김성준
    • 대한의용생체공학회:의공학회지
    • /
    • 제22권2호
    • /
    • pp.145-150
    • /
    • 2001
  • 대뇌 피질에 삽입하여 깊이에 따라 신경 신호를 기록하기 위한 탐침형 반도체 미세전극 어레이(depth-type silicon microelectrode array, 일명 SNU probe)를 제작하였다. 붕소를 확산시켜 생성된 고농도 p-type doping된 p+ 영역을 습식식각 정지점으로 사용하는 기존의 방법과 달리 실리콘 웨이퍼의 앞면을 건식식각하여 원하는 탐침 두께만큼의 깊이로 트렌치(trench)를 형성한 후 뒷면을 습식식각하는 방법으로 탐침 형태의 미세 구조를 만들었다. 제작된 반도체 미세전극 어레이의 탐침 두께는 30 $\mu\textrm{m}$이며 실리콘 건식식각을 위한 마스크로 6 $\mu\textrm{m}$ 두께의 LTO(low temperature oxide)를 사용하였다. 탐침의 두께는 개발된 본 공정을 이용해서 5~90 $\mu\textrm{m}$ 범위까지 쉽게 조절할 수 있었다. 탐침의 두께를 보다 쉽게 조절할 수 있게 됨에 따라 여러 신경조직에 필요한 다양한 구조의 반도체 미세전극 어레이를 개발할 수 있게 되었다. 본 공정을 이용해서 개발된 4채널 SUN probe를 사용하여 흰쥐의 제1차 체감각 피질에서 4채널 신경 신호를 동시에 기록하였으며, 전기적 특성검사에서 기존의 탐침형 반도체 미세전극, 텅스텐 전극과 대등하거나 우수한 신호대 잡음비(signal to noise ratio, SNR)특성을 가짐을 확인하였다.

  • PDF

Etch Characteristics of CO/NH3 Plasma Gas for Magnetic Random Access Memory in Pulsed-biased Inductively Coupled Plasmas

  • 양경채;전민환;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.200-200
    • /
    • 2013
  • 기존 메모리 반도체에 비교해 빠른 재생속도와 높은 집적도, 비휘발성 등의 특성을 가지는 MRAM (Magnetic Random Access Memory)은 DRAM, flash memory 등을 대체할 수 있는 차세대 기억 소자로서 CoFeB/MgO/CoFeB로 구성된 한 개의 MTJ (Magnetic Tunnel Junction)를 단위 메모리로 사용한다. 이 MTJ 물질들은 고밀도 플라즈마를 이용한 건식 식각공정시 Cl2, BCl3 등과 같은 chlorine 을 포함한 가스를 이용하여 왔으나 식각 후 sidewall에서 발생하는 부식과 식각 선택비 확보의 어려움 등으로 마스크 물질에 제약을 받고 소자 특성이 감소하게 되는 등의 문제가 있다. 따라서 이러한 식각 문제점을 해결하기 위한 대안으로 noncorrosive 가스인 CO/NH3, CH3OH, CH4 등을 이용한 MTJ 식각 연구가 진행되어 오고 있으며 이중 CO/NH3 혼합가스는 부식성이 없고 hard mask와의 높은 선택비를 가지는 기체로 CO gas에 NH3 gas를 첨가하게 되면 etch rate이 증가하는 특성을 보인다. 또한 rf pulse-biased power를 이용하여 이온의 입사를 시간에 따라 제어함으로써 pulse off time 때 etch gas와 MTJ 물질간의 chemical reaction을 향상시킬 수 있다. 따라서 본 연구에서는 CO/NH3 혼합가스를 이용하여 다양한 rf pulse-biased power 조건에서 MTJ 물질인 CoFeB, MgO와 hard mask 물질인 W을 식각 한 뒤 식각특성을 분석하였으며 MTJ surface의 chemical binding state, surface roughness 측정을 진행하였다. 식각 샘플의 측정은 Alpha step profiler, XPS (X-ray Photoelectron Spectroscopy), AFM (Atomic Force Microscopy)를 통해 진행되었다. Time-averaged pulse bias에서는 duty ratio가 감소할수록 etch rate의 큰 감소 없이 CoFeB/W, MgO/W 물질의 etch selectivity가 향상됨을 확인할 수 있었으며 pulse off time 구간에서의 chemical reaction 향상으로 인해 식각부산물의 재증착이 감소하고 CoFeB의 surface roughness가 감소하는 것을 확인하였다.

  • PDF

실리카 도파로(Silica Waveguide) 제작을 위한 Inductively Coupled Plasma에 의한 산화막 식각특성 연구 (The study of oxide etching characteristics using inductively coupled plasma for silica waveguide fabircation)

  • 박상호;권광호;정명영;최태구
    • 한국진공학회지
    • /
    • 제6권3호
    • /
    • pp.287-292
    • /
    • 1997
  • 본 실험은 고밀도 플라즈마원인 inductively coupled plasma(ICP)를 이용하여 실리카 도파로의 코아를 형성하고자 하였다. $CF_4/CHF_3$유량비, bias power 및 source power 등의 변화에 따른 산화막의 식각 특성 즉 식각 속도, 식각 단면 및 식각된 표면의 거칠기 등의 변화를 검토하였다. 또한 single Langmuir probe 및 optical emission spectroscopy(OES)를 이용하여, 식각 변수에 따른 ICP의 플라즈마 특성을 관찰하였다. 이상의 결과를 토대로, $SiO_2-P_2O_5$로 구성된 실리카 도파로의 코아(core)층을 형성하였고, 이때 최적화된 식각 조건 에서 식각 속도는 380nm/min이고, 마스크 층으로 사용된 Al(Si 1%)와 산화막과의 식각 선 택비는 30:1이상이였다. 형성된 실리카 도파로를 scanning electron microscopy(SEM)으로 관찰한 결과, 코아층의 식각 단면이 수직하고 패턴 선폭의 손실이 거의 없음을 확인하였다.

  • PDF

Photoluminescence analysis of patterned light emitting diode structure

  • 홍은주;변경재;박형원;이헌
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2009년도 춘계학술발표대회
    • /
    • pp.21.2-21.2
    • /
    • 2009
  • 발광다이오드는 에너지 변환 효율이 높고 친환경적인 장점으로 인하여 차세대 조명용 광원으로 각광받고 있다. 하지만 현재 발광다이오드는 낮은 광추출효율로 인하여 미래의 수요를 충족시킬 수 있을 만큼 충분한 성능의 효율을 나타내지 못하고 있다. 발광다이오드의 낮은 광추출효율은 반도체소재와 외부 공기와의 큰 굴절률 차이로 인하여 발생하는 전반사 현상에 기인한 것으로 이 문제를 해결하기 위하여 발광다이오드 소자의 발광면 및 기판을 텍스처링하는 방법이 중요하게 인식되고 있다. 하지만 현재까지 패턴의 구조에 따른 광추출 특성을 분석한 연구는 미진한 상황이다. 본 연구에서는 임프린팅 및 건식식각 공정을 이용하여 다양한 구조의 나노 및 micron 급 패턴을 발광다이오드의 p-GaN층에 형성하였다. 발광다이오드 기판 위에 하드마스크로 사용하기 위한 SiO2를 50nm 증착한 후 그 위에 UV 임프린팅 공정을 진행하여 폴리머 패턴을 형성시켰다. 임프린팅 공정으로 형성된 폴리머 패턴을 CF4CHF3 플라즈마를 이용하여 SiO2를 건식식각하였고, 이후에 SiCl4와 Ar 플라즈마를 이용한 ICP 식각 공정을 진행하여 p-GaN층을 100nm 식각하였다. 마지막으로 BOE를 이용한 습식식각 공정으로 p-GaN층에 남아있는 SiO2층을 제거하여 p-GaN층에 sub-micron에서 micron급의 홀 패턴을 형성하였다. Photoluminescence(PL) 측정을 통해서 발광다이오드 소자에 형성된 패턴의 구조에 따른 광추출 특성을 분석하였다.

  • PDF