• Title/Summary/Keyword: 분기방법

Search Result 377, Processing Time 0.027 seconds

A Multiple Branching Algorithm of Contour Triangulation by Cascading Double Branching Method (이중분기 확장을 통한 등치선 삼각화의 다중분기 알고리즘)

  • Choi, Young-Kyu
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.27 no.2
    • /
    • pp.123-134
    • /
    • 2000
  • This paper addresses a new triangulation method for constructing surface model from a set of wire-frame contours. The most important problem of contour triangulation is the branching problem, and we provide a new solution for the double branching problem, which occurs frequently in real data. The multiple branching problem is treated as a set of double branchings and an algorithm based on contour merging is developed. Our double branching algorithm is based on partitioning of root contour by Toussiant's polygon triangulation algorithml[14]. Our double branching algorithm produces quite natural surface model even if the branch contours are very complicate in shape. We treat the multiple branching problem as a problem of coarse section sampling in z-direction, and provide a new multiple branching algorithm which iteratively merge a pair of branch contours using imaginary interpolating contours. Our method is a natural and systematic solution for the general branching problem of contour triangulation. The result shows that our method works well even though there are many complicated branches in the object.

  • PDF

A Novel Branch Method for Designing Educational Contents (교육용 컨텐츠 설계를 위한 새로운 분기방법)

  • 오용선
    • The Journal of the Korea Contents Association
    • /
    • v.2 no.4
    • /
    • pp.1-8
    • /
    • 2002
  • In this paper, we propose a novel branch method which improve learning effects of the digital contents using a user-friendly access method by taking any conceptual object as the unit of interface. The conceptual objects may exist as a part of one or more contents pages, and we can access them to repeat, replay or return to the original branch point, that elevates the interactions of the digital contents. This method is useful for all kinds of digital contents, but it is especially effective to make educational contents in the field of science, engineering, and language courses because they contain various conceptual elements such as blocks of modeling, mathematical equations, and memorizing units. In addition, if we realize the blanch method proposed in this paper with the conventional page-branch or title-branch methods in the same contents, user interaction will be maximized and learning effects of the contents maybe beautifully improved.

  • PDF

A Design and Implementation of Branch Predictor for High Performance Superscalar Processors (고성능 슈퍼스칼라 프로세서를 위한 분기예측기의 설계 및 구현)

  • 서정민;김귀우;이상정
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2001.04a
    • /
    • pp.22-24
    • /
    • 2001
  • 슈퍼스칼라 프로세서에서는 분기 명령의 결과 지연으로 명령의 공급이 중단되는 것을 방지하고 지속적인 파이프라인 처리를 위해서 분기의 결과를 미리 예측하여 명령을 폐치하고 있다. 본 논문에서는 심플스칼라 툴 셋을 사용하여 슈퍼스칼라 프로세서에서 사용되는 대표적인 동적 분기예측 방법 시뮬레이션 환경을 구축한다. 동적 분기예측 방법으로 분기 타겟버퍼(Branch Target Buffer, BTB) 상에서 분기명령의 자기 히스토리에 근거한 BTB 방식과 이전 분기명령의 히스토리와의 상관관계를 고려한 Gshare 분기예측기를 적용 구현한다. 심플스칼라 시뮬레이터에 SPEC95 벤치마크 프로그램을 실행시켜 디자인 파라미터 변화에 따른 분기 예측기의 예측정확도를 실험한다. 또한 BTB와 Gshare 분기예측기를 VHDL로 구현하고 Synopsys 툴을 이용하여 시뮬레이션 및 합성 과정을 거쳐 게이트 크기와 파워 소모량을 측정한다.

An Dynamic Branch Prediction Scheme to Reduce Negative Interferences for ILP Processors (ILP 프로세서를 위한 부정적 간섭을 감소시키는 동적 분기예상 기법)

  • 박홍준;조영일
    • Journal of Internet Computing and Services
    • /
    • v.2 no.1
    • /
    • pp.23-30
    • /
    • 2001
  • ILP processors require an accurate branch prediction scheme to achieve higher performance. Two-Level branch predictor has been known to achieve high prediction accuracy. But, when a branch accesses a PHT entry that was, previously updated by other branch, Two-level predictor may cause interferences. Negative interferences among all interferences have a negative effect on performance, since they can cause branch mispredictions. Agree predictor achieve high prediction accuracy by converting negative interferences to positive interferences by adding bias bits to BTB, but negative interferences may occur when bias bit is set incorrectly. This paper presents a new dynamic branch predictor which reduces negative interferences. In the proposed predictor, we attach hit bits to entries in BTB to change bias bit dynamically during the execution time, h a result the proposed scheme improve the accuracy of prediction by reducing negative Interferences effectively, To illustrate the effect of the proposed scheme, we evaluate the performance of this scheme using SPEC92int benchmarks, The results show that the proposed scheme can outperform traditional branch predictors.

  • PDF

Variable Input Gshare Predictor based on Interrelationship Analysis of Instructions (명령어 연관성 분석을 통한 가변 입력 gshare 예측기)

  • Kwak, Jong-Wook
    • Journal of the Korea Society of Computer and Information
    • /
    • v.13 no.4
    • /
    • pp.19-30
    • /
    • 2008
  • Branch history is one of major input vectors in branch prediction. Therefore, the Proper use of branch history plays a critical role of improving branch prediction accuracy. To improve branch prediction accuracy, this paper proposes a new branch history management policy, based on interrelationship analysis of instructions. First of all, we propose three different algorithms to analyze the relationship: register-writhing method, branch-reading method, and merged method. Then we additionally propose variable input gshare predictor as an implementation of these algorithms. In simulation part, we provide performance differences among the algorithms and analyze their characteristics. In addition, we compare branch prediction accuracy between our proposals and conventional fixed input predictors. The performance comparison for optimal input branch predictor is also provided.

  • PDF

A Development of Numerical Method for Bifurcational Bucklingof the Spatial Structures (공간구조물의 분기좌굴해석이론의 개발)

  • Lee, Kyung-Soo;Han, Sang-Eul;Lee, Jae-Young;Kim, Man-Jung
    • Proceedings of the Computational Structural Engineering Institute Conference
    • /
    • 2009.04a
    • /
    • pp.496-499
    • /
    • 2009
  • 본 논문은 기하학적 비선형성을 가진 보존적 단일 하중 매개변수의 탄성 상태 공간구조의 분기이론에 관한 수치 해석적 기본 방법 및 경로 추적, pin-pointing, 경로 전환을 기술하고 있다. 비선형 탄성 불안정 상태는 극한점과 분기점으로 분류될 수 있으며, 평형경로상의 평형점의 계산 및 평형경로상의 특이점을 찾기 위한 pin-pointing 반복계산을 수행하는 일반적인 비선형 수치해석법으로 극한점을 계산할 수 있다. 그러나 분기좌굴 해석을 위해서는 좌굴 후 분기경로의 추적을 위한 분기경로 전환 알고리즘이 추가적으로 필요하다. 본문에서는 에너지이론에 기초한 일반 탄성안정이론을 소개하고, 평형경로 추적, 분기 좌굴점을 찾기 위한 직접법과 분기경로 전환에 관한 이론을 전개한다. 분기좌굴 해석예제로 트러스로 이루어진 스타돔, 핀지지의 평면아치, 평면프레임, 3차원 공간프레임의 분기좌굴 해석을 수행하여 본문에서 제시한 수치해석법의 정확성 및 실용성을 검증한다.

  • PDF

A Prefetch Architecture with Efficient Branch Prediction for a 64-bit 4-way Superscalar Microprocessor (64비트 4-way 수퍼스칼라 마이크로프로세서의 효율적인 분기 예측을 수행하는 프리페치 구조)

  • 문상국;문병인;이용환;이용석
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.25 no.11B
    • /
    • pp.1939-1947
    • /
    • 2000
  • 본 논문에서는 명령어의 효율적인 페치를 위해 분기 타겟 주소 전체를 사용하지 않고 캐쉬 메모리(cache memory) 내의 적은 비트 수로 인덱싱 하여 한 클럭 사이클 안에 최대 4개의 명령어를 다음 파이프라인으로 보내줄 수 있는 방법을 제시한다. 본 프리페치 유닛은 크게 나누어 3개의 영역으로 나눌 수 있는데, 분기에 관련하여 미리 부분적으로 명령어를 디코드 하는 프리디코드(predecode) 블록, 타겟 주소(NTA : Next Target Address) 테이블 영역을 추가시킨 명령어 캐쉬(instruction cache) 블록, 전체 유닛을 제어하고 가상 주소를 관리하는 프리페치(prefetch) 블록으로 나누어진다. 사용된 명령어들은 SPARC(Scalable Processor ARChitecture) V9에 기준 하였고 구현은 Verilog-HDL(Hardwave Description Language)을 사용하여 기능 수준으로 기술되고 검증되었다. 구현된 프리페치 유닛은 명령어 흐름에 분기가 존재하더라도 단일 사이클 안에 4개까지의 명령어들을 정확한 예측 하에 다음 파이프라인으로 보내줄 수 있다. 또한 NTA를 사용한 방법은 같은 수의 레지스터 비트를 사용하였을 때 BTB(Branch Target Buffer)를 사용하는 방법과 비교하여 2배정도 많은 개수의 분기 명령 주소를 저장할 수 있는 장점이 있다.

  • PDF

A Branch Misprediction Recovery Mechanism using Control Independence (제어 독립성을 이용한 분기 예상 실패 복구 메커니즘)

  • 윤성룡;신영호;박홍준;조영일
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2000.10c
    • /
    • pp.636-638
    • /
    • 2000
  • 제어 독립성(Control Independence)은 슈퍼스칼라 프로세서에서 명령어 수준 병렬성(Instruction-Level Parallelism)을 향상시키기 위한 중요한 요소로 작용하고 있다. 분기 예상기법(Branch Prediction Mechanism)에서 잘못 예상될 경우에는 예상한 분기 방향의 명령어들을 제거하고 올바른 분기 방향의 명령어들을 다시 반입하여 수행해야 한다. 본 논문에서는 컴파일 시 프로파일링을 통한 정적인 방법과 프로그램상의 제어 흐름을 통해 동적으로 제어 독립적인 명령어를 탐지함으로써 분기 명령어의 잘못된 예상으로 인해 제거되는 명령어를 효과적으로 감소시켜 프로세서의 성능을 향상시키는 메커니즘을 제안한다. SPECint95 벤치마크 프로그램에 대해 기존의 방법과 본 논문에서 제안한 방법 사이의 사이클 당 수행된 명령어 수를 분석한 결과, 4-width 프로세서에서 4%~6%, 8-width 프로세서에서 11%~18%, 16-width 프로세서에서 15%~17%의 성능 향상을 보이고 있다.

  • PDF

A Selective Recovery Mechanism of Control-Flow Independent Instructions (제어 독립적인 명령어의 선택적 복구 메커니즘)

  • 윤성룡;신영호;조영일
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2002.10c
    • /
    • pp.715-717
    • /
    • 2002
  • 최신의 프로세서는 분기명령에 의한 파이프라인 지연을 피하기 위해 분기 예상 기법을 사용하고 있다. 그러나 예측기에서 예상이 잘못된 경우에는 예상한 분기 방향의 명령어들을 무효화시키고 올바른 분기 방향의 명령어들을 다시 반입하여 수행시키므로 서 수행 사이클과 하드웨어 자원을 낭비하게된다. 본 논문에서는 컴파일 시 프로파일링을 통한 정적인 방법과 프로그램상의 제어 흐름을 통해 동적으로 제어 독립적인 명령어를 탐지해서 분기 명령어의 잘못된 예상으로 인해 무효화되는 명령어를 효과적으로 감소시켜 프로세서의 성능을 향상시키는 메커니즘을 제안한다. SPECint95 벤치마크 프로그램에 대해 기존의 방법과 본 논문에서 제안한 방법 사이의 사이클 당 수행된 명령어 수를 분석한 결과, 4-이슈 프로세서에서 2%-7%, 8-이슈 프로세서에서 4%-l5%, 16-이슈 프로세서에서 18%-28%의 성능 향상을 보이고 있다.

  • PDF

Accurate Prediction of Polymorphic Indirect Branch Target (간접 분기의 타형태 타겟 주소의 정확한 예측)

  • 백경호;김은성
    • Journal of the Institute of Electronics Engineers of Korea CI
    • /
    • v.41 no.6
    • /
    • pp.1-11
    • /
    • 2004
  • Modern processors achieve high performance exploiting avaliable Instruction Level Parallelism(ILP) by using speculative technique such as branch prediction. Traditionally, branch direction can be predicted at very high accuracy by 2-level predictor, and branch target address is predicted by Branch Target Buffer(BTB). Except for indirect branch, each of the branch has the unique target, so its prediction is very accurate via BTB. But because indirect branch has dynamically polymorphic target, indirect branch target prediction is very difficult. In general, the technique of branch direction prediction is applied to indirect branch target prediction, and much better accuracy than traditional BTB is obtained for indirect branch. We present a new indirect branch target prediction scheme which combines a indirect branch instruction with its data dependent register of the instruction executed earlier than the branch. The result of SPEC benchmark simulation which are obtained on SimpleScalar simulator shows that the proposed predictor obtains the most perfect prediction accuracy than any other existing scheme.