Browse > Article

Accurate Prediction of Polymorphic Indirect Branch Target  

백경호 (순천향대학교 정보기술공학부)
김은성 (순천향대학교 정보기술공학부)
Publication Information
Abstract
Modern processors achieve high performance exploiting avaliable Instruction Level Parallelism(ILP) by using speculative technique such as branch prediction. Traditionally, branch direction can be predicted at very high accuracy by 2-level predictor, and branch target address is predicted by Branch Target Buffer(BTB). Except for indirect branch, each of the branch has the unique target, so its prediction is very accurate via BTB. But because indirect branch has dynamically polymorphic target, indirect branch target prediction is very difficult. In general, the technique of branch direction prediction is applied to indirect branch target prediction, and much better accuracy than traditional BTB is obtained for indirect branch. We present a new indirect branch target prediction scheme which combines a indirect branch instruction with its data dependent register of the instruction executed earlier than the branch. The result of SPEC benchmark simulation which are obtained on SimpleScalar simulator shows that the proposed predictor obtains the most perfect prediction accuracy than any other existing scheme.
Keywords
Instruction Level Parallelism; BTB; speculative technique; indirect branch target prediction;
Citations & Related Records
연도 인용수 순위
  • Reference
1 J. K. F. Lee and A. J. Smith, 'Branch Prediction Strategies and Branch Target Buffer Design', IEEE Computer Magazine, 17(1), Jan. 1984
2 D. W. Wall, 'Limits of Instruction-Level Parallelisrn', 4th Int'l Conf. on Architectural Support for Programming Languages and Operating Systems, pp. 176-188, Santa Clara, U.S.A., Apr. 1991
3 A. Roth, A. Moshovos and G. S. Sohi, 'Improving Virtual Function Call Target Prediction via Dependence-Based Pre- Computation', 13th Int'l Conf. on Super computing, pp. 356-364, Rhodes, Greece, June 1999   DOI
4 O. J. Santana, A. Falcon, E. Fernandez, P. Medina, A. Ramirez and M. Volero, 'A Comprehensive Analysis of Indirect Branch Prediction', 4th Int'l Symp. on High Performance Computing, pp. 133-145, Kansay Science City, Japan, May 2002
5 J. Kalamatianos and D. R. Kaeli, 'Predicting Indirect Branches via Data Compression', 31th Int'l Symp. on Microarchitecture, pp. 272-281, Dallas, U.S.A., Dec. 1998   DOI
6 R. Nair, 'Dynamic Path-Based Branch Correlation', 28th Int'l Symp. on Micro architecture, pp. 15-23, Ann Arbor, U.S.A., Nov. 1995   DOI
7 D. R. Kaeli and P. G. Emma, 'Branch History Table Prediction of Moving Target Branches due to Subroutine Returns', 18th Int'l Symp. on Computer Architecture, pp. 43-42, Toronto, Canada, May 1991   DOI
8 J. Kalamatianos and D. R. Kaeli, 'Improving the Accuracy of Indirect Branch Prediction via Branch Classification', Technical Report ECE-CEG-98-008, Northeastern University, Boston, Mar. 1998
9 D. Burger and T. M. Austin, 'The SimpleScalar Tool Set, Version 2.0', Technical Report CS-RT-97-1342, University of Wisconsin, Madison, June 1997
10 K. Driesen and U. Holzle, 'Multi-Stage Cascaded Prediction', 5th Int'l Euro-Par Conf. on Parallel Processing, pp. 1312-1321, Toulouse, France, Aug. 1999
11 A. Seznec, S. Felix, V. Krishnan and Y. Sazeides, 'Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor', 29th Int'l Symp. on Computer Architecture, pp. 295-306, Anchorage, U.S.A., May 2002   DOI
12 P. Y. Chang, E. Hao and Y. N. Patt, 'Target Prediction for Indirect Jumps', 24th Int'l Symp. on Computer Architecture, pp. 274-283, Denver, U.S.A., June 1997   DOI
13 K. Driesen and U. Holzle, 'Accurate Indirect Branch Prediction', 25th Int'l Symp. on Computer Architecture, pp. 167-178, Barcelona, Spain, July 1998   DOI
14 K. Driesen and U. Holzle, 'The Cascaded Predictor: Economical and Adaptive Branch Target Prediction', 31th Int'l Symp. on Microarchitecture, pp. 249-258, Dallas, U.S.A., Dec. 1998
15 S. McFarling, 'Combining Branch Predictions', TN 36, DEC-WRL, June 1993
16 D. A. Jimenes and C. Lin, 'Dynamic Branch Prediction with Perceptron', 7th Int'l Symp. on High Performance Computer Architecture, pp. 197-206, Monterrey, Mexico, Jan. 2001   DOI
17 A. N. Eden and T. N. Mudge, 'The Yags Branch Predictor', 31th Int'l Symp. on Microarchitecture, pp. 69-77, Dallas, U.S.A., Dec. 1998   DOI
18 E. Sprangle, R. S. Chappell, M. Alsup and Y. N. Patt, 'The Agree Predictor: A Mechanism for . Reducing Negative Branch History Interference', 24th Int'I Symp. on Computer Architecture, pp. 284-291, Denver, U.S.A., June 1997
19 C. -C. Lee, I. K. Chen and T. N. Mudge, 'The Bi-Mode Branch Predictor', 30th Int'l Symp. on Microarchitecture, pp. 4-13, Research Triangle Park, U.S.A, Dec. 1997   DOI
20 T. Y. Yeh and Y. N. Patt, 'Alternative Implementation of Two Level Adaptive Training Branch Predictions', 19th Int'l Symp, on Computer Architecture, pp. 124-134, Gold Coast, Australia, May 1992
21 B. Calder and D. Grunwald, 'Fast & Accurate Instruction Fetch and Branch Prediction', 21th Int'l Symp, on Computer Architecture, pp. 2-11, Chicago, U.S.A., Apr. 1994   DOI
22 C. Perleberg and A. J. Smith, 'Branch Target Buffer Design and Optimization', IEEE Transactions on Computers, 42(4), pp. 396-412, Apr. 1993   DOI   ScienceOn