• 제목/요약/키워드: 벌크 재료

검색결과 154건 처리시간 0.031초

Microelectromechnical system 소자를 위한 박막형 2차 전지용 TEX>$SnO_2$ 음극 박막의 충, 방전 특성 평가

  • 윤영수;전은정;신영화;남상철;조원일
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.50-50
    • /
    • 1999
  • 마이크로 공정을 이용한 초소형 정밀 기계는 공정 기술과 재료 기술의 발전에 의하여 더욱 소형화되고 있으며 특히 기능을 갖는 부분과 이 부분을 제어하는 주변회로의 on-chip화의 요구가 증가되기 시작하였다. 이와 같은 추세에 있어서의 문제점은 초소형 정밀기계 부품 소자의 구동을 위한 에너지원의 개발이다. 즉, 소자의 크기가 작아진 것에 부합되는 초소형의 전지가 필요하게 된 것이다. 따라서 보다 완전한 초소형 정밀 기계 및 마이크로 소자의 구현을 위하여 마이크로 소자와 혼성 (Hybrid) 되어 이용될 수 있는 고성능 및 초소형의 전지의 개발이 필수적이다. 초소형 전지의 구현을 위하여 Li계의 2차 전지를 선택하여 이를 박막화하고 반도체 공정을 도입할 수 있다. 이러한 전지를 박막형 2차 전지 또는 박막형 마이크로 전지(thin film Secondary Battery : TFSB or Thin Film Micro-Battery : TFMB)라 하며 이러한 2차 전지는 일반적인 벌크 전지와 동일하게 cathode/Electolyte/Anode의 구조를 갖는다. 박막의 특성상 전해질은 고상의 물질을 사용하는 것이 벌크형 2차 전지와 다른 점이다. TFSB의 성능은 주로 cathode에 의하여 결정되며 지금까지 많은 cathode 물질에 대한 연구 보고가 발표되고 있다. 반도체 공정을 이용한 TFMB의 제작시 무엇보다 중요한 점은 우수한 고상 전해질 및 anode 물질의 선택에 있다. 최근에 2차 전지를 위한 carbon계 anode를 대체할 수 있는 SnO에 대한 보고가 있는데 이는 한 개의 Sn 원자당 2개 이사의 Li가 반응하여 높은 용량을 갖는 전지의 제작이 가능하기 때문이다. Sno2의 anode는 매우 높은 충전용량을 갖는데 첫 번째 방전시에 Li2O를 생성하여 비가역적 반응을 나타내고 계속되는 충방전 동안 Li-Sn 합금이 생성되어 2차전지의 가역적 반응을 가능하게 한다. SnO2 는 대기중에서 Li 금속보다 안정하기 때문에 전지의 제작 공정 및 사용 면에서 매우 우수한 물질이지만 아직까지 SnO2 구조적 특성과 전지의 충, 방전 특성에 대한 관계의 규명을 위한 정확한 정설은 제시되고 있지 못하다. 본 연구에서는 TFSB anode 물질로써 SnOx박막을 상온에서 여러 전도성 콜렉터 위에 증착하여 그 충, 방전 특성을 보고하였다. 증착된 SnOx박막의 표면은 SEM, AFM으로 분석하였으며 구조의 분석은 XR와 Auger electron spectroscope로 하였다. 충, 방전 특성을 분석하기 위하여 리늄 foil을 대극과 참조 전극으로 하여 EC:DMC=1:1, 1M LiPF6 액체 전해질을 사용한 Half-Cell를 구성하여 100회 이상의 정전류 충, 방전 시험을 행하였다. Half-Cell test 결과 박막의 구조, 콜렉터의 종류 및 Sn/O비에 따라 서로 다른 충, 방전 거동을 나타내었다.

  • PDF

기계적합금화에 의한 α-Fe/Al2O3 자성 나노복합재료의 제조 및 치밀화 (Fabrication and densification of magnetic α-Fe/Al2O3 nanocomposite by mechanical alloying)

  • 이충효;김한웅
    • 한국결정성장학회지
    • /
    • 제23권6호
    • /
    • pp.314-319
    • /
    • 2013
  • 본 연구에서는 $Fe_2O_3-Al$계 나노복합재료를 제조하기 위하여 실온 기계적 합금화법(MA)을 적용하였다. $Fe_2O_3$와 순금속 Al의 혼합분말을 5시간 동안 MA 처리한 결과 ${\alpha}-Fe$ 기지에 $Al_2O_3$가 미세하게 분산된 ${\alpha}-Fe/Al_2O_3$ 나노복합분말을 얻을 수 있었다. 또한 MA 분말의 자화값 및 보자력 측정을 통하여 볼밀처리 중 순금속 Al에 의한 헤마타이트의 고상환원 과정을 자세히 관찰할 수 있었다. MA 분말시료의 벌크화를 위하여 소결온도 $1000^{\circ}C$$1100^{\circ}C$, 압력 60 MPa 에서 SPS 소결을 실시하였다. SPS 과정에서 MA 5시간 시료의 수축은 소결 개시 후 $700^{\circ}C$ 이상에서 크며 소결온도 $1100^{\circ}C$까지 비교적 단조롭게 수축함을 알 수 있었다. X선 회절 결과로부터, MA 분말을 $1100^{\circ}C$에서 SPS 소결시킨 ${\alpha}-Fe/Al_2O_3$ 나노복합재료의 경우 ${\alpha}-Fe$상 평균 결정립 크기가 180 nm임을 알 수 있었다. 또한 MA 분말을 $1000^{\circ}C$에서 SPS 소결시킨 시료의 보자력이 88 Oe로 여전히 높은 값을 보이는 사실로부터 소결과정 중 자성상 ${\alpha}-Fe$의 결정립 성장이 크게 억제된 것으로 판단된다.

기계적합금화에 의한 Fe2O3-Mg계 연자성 콤포지트의 합성 및 평가 (Synthesis and characterization of soft magnetic composite in Fe2O3-Mg system by mechanical alloying)

  • 이충효
    • 한국결정성장학회지
    • /
    • 제25권6호
    • /
    • pp.245-251
    • /
    • 2015
  • 본 연구에서는 $Fe_2O_3$-Mg계 혼합분말을 사용하여 연자성 복합재료를 제조하기 위하여 기계적 합금화법(MA)을 적용하였다. 초미세 조직을 가진 연자성 ${\alpha}$-Fe/MgO 복합재료를 얻기 위하여 X선 회절, 열분석 및 자기특성 측정을 통하여 최적 볼밀조건 및 열처리 조건을 조사하였다. $Fe_2O_3$와 순금속 Mg의 혼합분말을 30분 동안 MA 처리한 결과. ${\alpha}$-Fe 기지에 MgO가 분산된 ${\alpha}$-Fe/MgO 복합분말을 얻을 수 있었다. MA 분말시료의 자화값은 볼밀처리 시간에 따라 증가하여 MA 5시간 시료에서 69.5 emu/g의 최대값이 관찰되었다. 또한 MA 처리에 의하여 ${\alpha}$-Fe의 결정립 미세화와 함께 보자력이 증가하는 자기경화 현상이 관찰되었다. MA 분말시료의 벌크화를 위하여 소결온도 $800{\sim}1000^{\circ}C$, 압력 60 MPa에서 SPS 소결을 실시하였다. X선 회절 결과로부터, 5시간 MA 처리한 분말을 $800^{\circ}C$에서 SPS 소결시킨 ${\alpha}$-Fe/MgO 연자성 복합재료의 경우 ${\alpha}$-Fe상 평균 결정립 크기가 110 nm임을 알 수 있었다.

$NH_3$ 분위기에서 GaOOH로부터 GaN의 반응기구 (A Kinetic Study of GaN Formation from GaOOH under $NH_3$ Flowing)

  • 이재범;이종원;박인용;김선태
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 춘계학술발표강연 및 논문개요집
    • /
    • pp.94-94
    • /
    • 2003
  • 최근, 새로운 전자재료로서 GaN 분말의 합성과 응용에 관한 연구가 많이 이루어지고 있다. CaN 분말은 열처리 과정 중 분해를 방지하기 위한 표면 보호용 소재, CaN 박막 또는 벌크 결정을 성장하기 위한 precursor 및 대면적 평판표시소자 제작을 위한 전기발광소자용 소재 등에 적용되고 있다. 일반적으로 100$0^{\circ}C$ 이상의 온도에서Ga과 NH$_3$를 반응시키거나, Ga이 포함된 화합물반도체 또는 산화물 및 질산염 등을 NH$_3$ 분위기에서 가열시켜 GaN 분말을 합성시키고 있다. 본 논문에서는 출발물질로서 GaOOH를 채택하고, 이를 NH$_3$ 가스를 흘리면서 가열 반응시켜 GaN 분말을 합성하고 X선 회절분석 방법을 사용하여 GaN의 합성에 대한 반응기구를 조사하였다. GaN 분말을 합성하기 위하여 GaOOH 분말 1g을 석영 용기에 담아 석영 반응관 내에 위치시키고, 반응관 내부를 $10^{-3}$ torr의 진공으로 배기한 후 $N_2$를 주입하면서 전기로의 온도를 1$0^{\circ}C$/min으로 승온시켰다. 반응온도는 300~l17$0^{\circ}C$의 범위에서 변화시켰고, 반응시간은 10분부터 24시간까지 변화시켰으며, NH$_3$의 유량은 300~700 sccm의 범위에서 변화시켰다. GaN의 반응역학을 조사하기 위하여 X선 회절도에서 특정 성분의 회절강도는 시료 내에 포함된 특정 성분의 량에 직접 비례한다고 가정하고, 2$\theta$=37$^{\circ}$부근에서 관찰되는 GaN의 (101)면에 의한 회절강도를 측정하고, 이를 GaN의 생성량으로 고려하였다.}C$로 소결 하였다. coating 결과 박리현상은 없었으나, 표면과 단면의 SEM분석결과 다소 porous한 박막층이 형성되었으며, Ca이온이 지지체로 permeation되는 현상이 발생하였다. 이와 같은 결과로부터 보다 치밀한 박막생성을 위해, slurry 제조조건을 변화시켰으며, Ca이온의 migration을 막기 위해 barrier layer를 이용하였다 완전 소결된 지지체는 가스투과도와 전기전도도측정을 통하여 특성을 평가하였다.였다.다.m이하의 NH$_3$ 가스를 검출할 수 있었다.기 화강암 관입 이전에 좌수향 전단 운동에 의해 부분적으로 재활성 되었으며, 후기 화강암의 관입 이후에 재차 우수향 전단운동으로 활성화 되었음을 알 수 있다. 이상의 결과를 종합하면 호남전단대는 쥬라기 중기에 발생한 광역적인 우수향의 연성전단운동이나, 운동 특성은 연속적이기 보다는 단속적으로 일어난 것으로 생각된다.리 폐 관류는 정맥주입 방법에 비해 고농도의 cisplatin 투여로 인한 다른 장기에서의 농도 증가 없이 폐 조직에 약 50배 정도의 고농도 cisplatin을 투여할 수 있었으며, 또한 분리 폐 관류 시 cisplatin에 의한 직접적 폐 독성은 발견되지 않았다이 낮았으나 통계학적 의의는 없었다[10.0%(4/40) : 8.2%(20/244), p>0.05]. 결론: 비디오흉강경술에서 재발을 낮추기 위해 수술시 폐야 전체를 관찰하여 존재하는 폐기포를 놓치지 않는 것이 중요하며, 폐기포를 확인하지 못한 경우와 이차성 자연기흉에 대해서는 흉막유착술에 더 세심한 주의가 필요하다는 것을 확인하였다. 비디오흉강경수술은 통증이 적고, 입원기간이 짧고, 사회로의 복귀가 빠르며, 고위험군에 적용할 수 있고, 무엇보다도 미용상의 이점이 크다는 면에서 자연기흉에 대해 유용한 치료방법임에는 틀림이 없으나 개흉술에 비

  • PDF

소결한 $(Bi_xLa_{1-x})Ti_3O_{12}$ 강유전체에서 조성 및 첨가물질에 따른 미세구조 및 전기적 특성 평가

  • 김영민;강일;류성림;권순용;장건익
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 추계학술대회 논문집
    • /
    • pp.279-279
    • /
    • 2007
  • 비휘발성 메모리 Fe-RAM은 빠른 정보처리 속도와 전원공급이 차단되었을 때도 계속 정보를 유지할 수 있는 비휘발성 특징과 더불어 저전압, 저전력 구동의 장점이 있어서, 차세대 메모리로 많은 주목을 받고 있다. FeRAM에 사용되는 강유전체는 주로 Pb(Zr,Ti)$O_3$가 적용되었는데, 최근에는 비납계 강유전체의 연구도 활발히 이루어지고 있다. 이러한 비납계 강유전체 중에서 가장 특성이 우수한 물질은 $(Bi,La)_4Ti_3O_{12}$ (BLT) 이다. 그런데 BLT는 결정 방향에 따른 강한 이방성의 강유전 특성을 나타내기 때문에 BLT 박막을 이용하여 Fe-RAM 소자 등을 제작하기 위해서는 결정의 방향성을 세심하게 제어하는 것이 매우 중요하다. 지금까지 연구된 BLT 박막의 방향성 조절결과를 보면, BLT 박막을 스핀 코팅 법 (spin coating method)으로 증착하고, 핵생성 열처리 단계를 조절하여 무작위 방향성을 갖는 박막을 제조하는 방법이 일반적이었다. 그런데 이러한 스핀 코팅법에서의 핵생성 단계의 제어는 공정 조건 확보가 너무 어려운 단점이 있다. 이러한 어려움을 극복할 수 있는 대안은 스퍼터링 증착법(sputtering deposition method), PLD (pulsed laser deposition)법 등과 같은 PVD (physical vapor deposition) 법의 증착방법을 적용하는 것이다. PVD 법으로 증착하는 경우에는 이미 박막 내에 무수한 결정핵이 존재하기 때문에 핵생성 단계가 필요가 없게 된다. PVD 증착법의 적용을 위해서는 타겟의 제조 및 평가 실험이 선행되어야 한다. 그런데 벌크 BLT 재료의 소결공정 조건과 전기적 특성에 관한 연구 결과는 거의 발표가 되지 않고 있다. 본 실험에서는 $Bi_2O_3,\;TiO_2,\;La_2O_3,\;Nb_2O_5\;and\;Al_2O_3$ 분말들을 이용하여 최적의 조성을 구하기 위하여 $Nb^{+5}$$Al^{+3}$$Ti^{+4}$ 자리에 소량 치환시켜 제조하였다. 혼합된 분말을 하소 후 pellet 형태로 성형하여 소결을 실시하였다. 시편을 1mm 두께로 연마하고, 양면에 silver 전극을 인쇄하여 전기적 특성을 측정하였다. 측정결과 $Ti^{+4}$ 자리에 $Nb^{+5}$를 치환하여 제조한 시편에서 $2P_r{\sim}31\;{\mu}c/cm^2$정도의 매우 우수한 특성을 얻었다.

  • PDF

펄스 레이저 증착법으로 제작한 $(Bi,La)_4Ti_3O_{12}$ 박막의 미세구조 및 전기적 특성 (Microstructure and Electrical Properties of $(Bi,La)_4Ti_3O_{12}$ Thin Film Fabricated by Pulsed Laser Deposition Method)

  • 김영민;유효선;강일;길남제;장건익;권순용
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 하계학술대회 논문집 Vol.8
    • /
    • pp.277-277
    • /
    • 2007
  • $(Bi,La)_4Ti_3O_{12}$ (BLT) 물질은 결정 방향에 따른 강한 이방성의 강유전 특성을 나타낸다. 따라서 BLT 박막을 이용하여 FeRAM 소자 등을 제작하기 위해서는 결정의 방향성을 세심하게 제어하는 것이 매우 중요하다. 현재까지 연구된 BLT 박막의 방향성 조절 결과를 보면, BLT 박막을 스핀 코팅 법 (spin coating method)으로 중착하고, 핵생성 열처리 단계를 조절하여 무작위 방향성 (random orientation)을 갖는 박막을 제조하는 방법이 일반적이었다. 그런데 이러한 스핀 코팅법에서의 핵생성 단계의 제어는 공정 조건 확보가 너무 어려운 단점이 있다. 이러한 어려움을 극복할 수 있는 대안은 스퍼터링 증착법 (sputtering deposition method), PLD법 (pulsed laser deposition method) 등과 같은 PVD (physical vapor deposition) 법의 증착방법을 적용하는 것이다. PVD 법으로 증착하는 경우에는 이미 박막 내에 무수한 결정핵이 존재하기 때문에 핵생성 단계가 필요 없게 된다. PVD 증착법의 적용을 위해서는 타겟 (target)의 제조 및 평가 실험이 선행되어야 한다. 그런데 벌크 BLT 재료의 소결공정 조건과 전기적 특성에 관한 연구 결과는 거의 발표 되지 않고 있다. 본 실험에서는 $Bi_2O_3$, $TiO_2$ and $La_2O_3$ 분말을 이용하여 최적의 조성을 구하기 위하여 Bi양을 변화시키며 타겟을 제조 하였다. 혼합된 분말을 하소 후 pallet 형태로 성형하여 소결을 실시하였다. 시편을 1mm 두께로 연마하고, 표면에 silver 전극을 인쇄하여 전기적 특성을 측정하였다. Bi양이 3.28몰 첨가된 조성에서 최대의 잔류분극 (2Pr) 값을 얻었고, 이때의 값은 약 $18{\mu}C/cm^2$ 정도였다. 최적화된 조성 ($Bi_{3.28}La_{0.75}Ti_3O_{12}$)으로 BLT 타겟을 제조하여 PLD법으로 박막을 제조하였다. 박막 제조 시 압력은 $1{\times}10^{-1}\;{\sim}\;1{\times}10^{-4}\;Torr$ 범위에서 변화시켰다. $1{\times}10^{-1}\;Torr$ 압력을 제외하고는 모든 압력에서 BLT 박막이 증착되었다. 중착된 박막을 $650\;{\sim}\;800^{\circ}C$에서 30분간 열처리를 실시하고 전기적 특성을 평가한 결과, $1{\times}10^{-2}\;Torr$에서 증착한 박막에서 양호한 P-V (polarization-voltage) 이력곡선을 얻을 수 있었고, 이때의 잔류분극 (2Pr) 값은 약 $6\;{\mu}C/cm^2$ 이었다. 주사전자현미경 (SEM)을 이용하여 BLT 박막 표면의 미세구조도 관찰하였는데, 스핀코팅 법으로 증착한 경우에 관찰되었던 조대화된 입자들은 관찰되지 않았고, 상당히 양호한 입자 크기 균일도를 나타내었다.

  • PDF

Effect of $ZnCl_2$ on Formation of Carbonized Phenol Resin Anode

  • Kim Han-Joo;Hong Ji-sook;Son Won-Ken;Park Soo-Gil;Oyama Noboru
    • 전기화학회지
    • /
    • 제3권2호
    • /
    • pp.85-89
    • /
    • 2000
  • Lithium ion Battery(LIB)의 음극 활물질로써 리튬을 대체하기 위한 노력으로 phenol resin을 탄화시킨 탄소재료를 사용하였다. Phenol resin을 소성하면 축합반응을 일으키면서 탄화되어 무정형 탄소가 된다. 무정형 탄소는 층간거리가 넓어 리튬의 삽입과 탈리가 용이하지만 탄소간의 결합력이 약하여 구조적 붕괴가 일어난다. 이러한 문제를 해결하기 위해 세공형성제로서 $ZnCl_2$를 사용하였다. $ZnCl_2$는 생성된 물질에서 3차원적 망상구조로 성장하는 개방세공을 형성하는 세공형성제로서 뿐만 아니라, 벌크 첨가제가 도핑된 느슨한 구조를 형성하는 미세세공 형성제로서 작용하였다. SEM을 통해서 구조적 차이를 알 수 있었으며, XRD분석으로 층간의 거리를 알 수 있었다. CV측정을 통해 두 가지 샘플에 대한 산화와 환원 반응의 차이를 알아보았다.

접촉계면 반사 초음파의 음향 비선형 특성 (Acoustic Nonlinear Characteristics of Ultrasonic Wave Reflected at Contact Interfaces)

  • 박병준;이태훈;김정석;장경영
    • 비파괴검사학회지
    • /
    • 제31권1호
    • /
    • pp.40-46
    • /
    • 2011
  • 닫힘균열과 같은 고체-고체의 접촉계면에서 접촉 음향 비선형성을 평가하기 위해 벌크 투과파를 이용하는 기존의 전통적인 음향 비선형 기술의 경우 현장 적용하는데 있어서 송수신 탐촉자를 장착하기 위해 구조물 내부로 접근하는 것은 접근한계성의 어려움이 있다. 본 연구에서, 고체-고체의 접촉계면에서 음향 비선형성을 측정하기 위해 새로운 반사기법을 제안하였고 이는 대상재료의 동일한 면에서 송신과 수신을 하여 현장 적용성에 매우 편리한 기법이다. 이를 위해, 접촉압력에 대한 멱함수 모델를 통한 선형 그리고 비선형 강성을 추정하고 이를 기반으로 계면에서 반사된 초음파의 비선형 파라미터를 이론적으로 계산하였다. A16061-T6 시편에 대한 접촉압력에 따른 접촉계면 실험 결과들은 이론적인 예측과 매우 잘 일치하였으며 따라서 본 연구에서 제안된 반사모드 기술의 유효성을 검증하였다.

유체 플라즈마 적용을 통한 고분산 금 나노분말 제조에 관한 연구

  • 허용강;배종원;원종선;이상율
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.497-498
    • /
    • 2011
  • 나노입자는 벌크 재료와는 다른 광학적, 전기적, 촉매적 특징 때문에 최근 많은 연구가 이루어지고 있다. 나노유체의 성질은 나노입자의 크기와 형상, 분산성등과 같은 여러 요인에 의해서 결정되어진다. 이러한 나노입자의 특징 때문에 여러 응용분야에서 활용되어지고 있다. 예를 들면, 일반 유체에 나노입자를 분산시키면, 열전도도와 대류열전달효과가 증대되어 진다. 이러한 나노유체의 제조법으로는 크게 두 가지로 분류되어 있다. 투스텝법은 환원법 혹은 기계적으로 제작한 나노입자를 일반 유체에 혼합시킨 후 분산을 시켜 제조하는 제조법이다. 원스텝법은 투스텝법과는 달리 한번에 나노유체를 제조하는 제조법이다. 일반 유체에서 나노유체를 제조함과 동시에 분산을 시켜서 제조한다. 최근, 유체내에서 나노유체를 제조함과 동시에 분산을 시켜 나노유체를 제조하는 새로운 기술인 유체 플라즈마법이 개발되었다. 하지만, 유체 플라즈마의 일반적인 거동과 해석이 명확하게 규명되지 않은 상태이다. 본 연구에서는 유체 플라즈마의 발생 메카니즘 규명을 위한 방전 시간, 전압, 단극 직류 전력, 극간거리에 따른 유체 플라즈마의 특징을 OES와 오실로스코프를 이용하여 측정하였다. 또한, 제조된 나노유체의 특징을 UV-vis nir spectropgotometer, HR-TEM, zeta-potential, EDS, ICP-OES, KD2 pro and lambda로 측정하였다. 유체 플라즈마를 각 조건에 따라 발생시켰고, 나노유체를 성공적으로 제조하였다. 유체 플라즈마의 주요 발생 원소는 산소와 수소이온으로 측정되었다. 유체 플라즈마의 강도는 전기에너지가 증가함에 따라서 증가함으로 측정되었다. 제조된 나노입자의 크기는 유체 플라즈마의 강도가 증가함에 따라서 감소하였고, 대부분의 나노입자의 형상은 구형으로 제조되었다. 나노유체의 분산안정성 또한 유체 플라즈마의 강도가 증가함에 따라서 증가하였다. 직경이 $18.1{\pm}5.0$ nm인 나노유체의 열전도도는 3%로 측정되었다. 유체 플라즈마에 의한 나노유체의 제조 메카니즘을 다음과 같이 제안한다. 유체내에서 전기에너지 인가에 따른 이온과 전자의 흐름은 유체 플라즈마를 발생시킨다. 기본 유체는 물이므로 유체 플라즈마의 주요 발생 원소는 수소와 산소이며, 인가되는 전기에너지량이 증가함에 따라서 이온과 전자의 흐름이 증가됨으로서 유체 플라즈마의 강도가 증가함으로 추측한다. 유체 플라즈마 발생은 전자의 흐름과 관계되어진다. 따라서, 유체내에 존재하는 전구체에 전자가 제공되어짐에 따라서 금 입자를 환원시켜 입자가 형성된다. 또한, 유체 플라즈마는 나노입자를 음전하로 대전시켜 분산안정성의 확보가 되는 것으로 추측되어진다.

  • PDF

RTO 공정을 이용한 다공질 실리콘막의 저온 산화 및 특성분석 (Characterization of Oxidized Porous Silicon Film by Complex Process Using RTO)

  • 박정용;이종현
    • 대한전자공학회논문지SD
    • /
    • 제40권8호
    • /
    • pp.560-564
    • /
    • 2003
  • 본 논문에서는 RTP(rapid thermal process)를 이용한 새로운 산화방법을 고안했으며, 이는 짧은 시간에 다공질 실리콘을 산화시킴으로써 이 기술은 여타 방법에 비해 경제적이고 간편한 방법으로 짧은 시간에 두꺼운 산화막을 성장시킬 수 있는 장점을 가지고 있다. 먼저, 양극반응을 통해 PSL(porous silicon layer)을 형성한 후 이를 저온 산화시킨 후에 급속 열처리 산화공정(RTO: rapid thermal oxidation)를 이용해서 OPSL(oxidized porous silicon layer)을 제조하고, 그 물성 및 전기적 특성을 조사하여, 열 산화로 제작된 OPSL과 그 특성을 비교하였다. 시편의 절연 파괴전압은 약 3.9 MV/cm의 값을 보여 벌크 산화막보다는 적은 값이지만 절연 재료로서는 충분한 값이고, 누설전류는 0 ∼ 50 V의 인가 전압에서 100 ∼ 500 ㎀의 값을 보였다. 그리고, XPS 결과는 RTO 공정 추가가 저온 산화막의 완전 산화에 크게 기여함을 확인하였으며, 저온 산화막의 표면 및 내부에서도 산화반응이 완전하게 이루어졌음을 확인하였다. 이 결과로부터 저온 OPSL을 제조할 때, RTO 공정이 OPSL의 산화 및 치밀화(densification)의 증가에 크게 기여함을 알 수 있었다. 따라서, 이의 방법으로 제조된 OPSL은 저온을 요구하는 공정에서 소자의 절연막, 전기적인 분리층 그리고 실리콘 고주파용 기판 등으로 활용될 수 있을 것으로 보인다.