• Title/Summary/Keyword: 공정성 분위기

Search Result 227, Processing Time 0.026 seconds

Study of Using Carbon Dioxide for Obtaining Potassium Chloride from Cement By-Pass Dust (시멘트 바이패스 더스트 내 염화칼륨 수득을 위한 이산화탄소 적용 연구)

  • Kim, Kyoungseok;Chu, Yongsik
    • Resources Recycling
    • /
    • v.26 no.6
    • /
    • pp.38-44
    • /
    • 2017
  • As by-pass dust (BPD) made from cement manufactured process is designated waste, it is required to bury as high cost. The main component of BPD is potassium chloride (KCl), and used for the fertilizer. For using KCl to the fertilizer, the pH value of KCl is required as neutral or weak acid. However, it is not suitable to apply BPD into the fertilizer directly without any other treatment because BPD's pH value is shown 12.0~12.5; a high base. In this study, the carbon dioxide ($CO_2$) was used for removing calcium oxide (CaO) and reducing pH value during manufacturing process of KCl. We fixed inner condition of the carbon test chamber as $25^{\circ}C$-50RH%, and retained 0~7 hours under the 20 vol% of $CO_2$ atmosphere. After experiment, we analyzed the content of CaO and pH value from each samples. The more time exposed to $CO_2$, the content of CaO and pH value are shown. Furthermore, pH value exposed in 6 hours nearly reached 7.

Dependence of the Formation of $TiO_{2\pm}{\delta}$ Films on Plasma Process Variables (플라즈마 공정 변수가 $TiO_{2\pm}{\delta}$ 박막 형성에 미치는 영향)

  • Park, Sang-Gi;Gang, Bong-Ju;Lee, Won-Hui;Lee, Jae-Gap
    • Korean Journal of Materials Research
    • /
    • v.10 no.11
    • /
    • pp.732-737
    • /
    • 2000
  • Plasma enhanced chemical vapor deposition of $TiO_{2$\pm}{\delta}$ has been carried out using TEMAT [tetrakis(ethylmethylamido) titanium] and $H_2$. Increasing the power from 300 W to 500 W produced the high density plasma, leading to the formation of TiO$_2$films with an increased ratio of Ti to O and a negligible amount of C and N. Applying the bias of 30W to the substrate in creased the growth rate of the film with a slightly increased content of Ti in the film. In addition, $H_2O$ was from either the residual gas in the gase pressure or $H_2(/He)$ gas and actively participated in the formation of $TiO_2$ films. Consequently, Ti ions created in the plasma could be a main contributor to $TiO_2$ formation with a slight amount of $H_2O(~10^{-4}Toor)$ in the ambient, which provided the dissociation of TEMAT.

  • PDF

Thermo-decomposition behavior of GaAs scrap by thermogravimetry (열중량분석법에 의하 GaAs Scrap의 열분해거동)

  • 이영기;손용운;남철우;최여윤;홍성웅
    • Resources Recycling
    • /
    • v.4 no.3
    • /
    • pp.10-18
    • /
    • 1995
  • Recycling of GaAs scrap which occurs durmg the manufachre of GaAs waters is. therefore, required to solve the environmentalproblcrns caused by arsenic metal and to reutilize gallium which is a expensive metal. A thema-analyticalstudy (thermogravimeg. and derivative thermogravimetry) tor the evaporation behavior of Fa, As from Gak\ulcorner scrap powdersat vacuum atmosphere(2-2.5X 10'mmHg); was primarily performed to identi j the possibility of Ga extraction. Until79YC, the weight change of G d s porvder does not take place, at 800-970C range GaAs vaporizes as the GaAs compound,and over 1WO"C it decamposes mto Ga and As md then As vaporizes rapidly as a result of the difference af vaporprcssure for Ga and As, liquid Ga rcmains eventually.mains eventually.

  • PDF

DFabrication of $GdAlO_3$ Buffer Layers by Sol-Gel Processing (졸-겔법에 의한 $GdAlO_3$ 버퍼층의 제조)

  • Bang, Jae-Cheol
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.7 no.5
    • /
    • pp.801-804
    • /
    • 2006
  • [ $GdAlO_3(GAO)$ ] buffer layer for $YBa_2Cu_3O_{7-{\delta}}(YBCO)$ coated superconductor wire was fabricated by sol-gel processing. Precursor solution was prepared by dissolving 1:1 stoichiometric quantaties of gadolinium nitrate hexahydrate and aluminum nitrate nonahydrate in methanol. The solution was spin-coated on $SrTiO_3(STO)$(100) single crystal substrates and heated at $1000^{\circ}C$ for 2h in wet $N_2-5%\; H_2$, atmosphere. A SEM(scanning electron microscopy) observation of the surface morphology of the GAO layer has shown that it has a faceted morphology indicating epitaxy. It was shown from x-ray diffraction(XRB) that GAO buffer layer was highly c-axis oriented epitaxial thin film with both good out-of-plane($FWHM=0.29^{\circ}$ for the (002) reflection) and in-plane ($FWHM=1.10^{\circ}$ for the {112} reflection) alignment.

  • PDF

펄스 레이저 방식으로 증착된 $MgTiO_3$ 박막의 전기적 특성 분석

  • 안순홍;노용한;강신충;이재찬
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2000.02a
    • /
    • pp.71-71
    • /
    • 2000
  • 본 연구에서는 차세대 마이크로파 유전체 소자로서의 응용을 목적으로 펄스 레이저 방식에 의하여 증착된 MgTiO3 박막의 전기적 특성을 종합적으로 연구 분석하였다. 이를 바탕으로 MgTiO3 박막의 유전손실 등과 같은 열화를 야기시키는 박막 내부 또는 박막과 기판간의 결함의 특성을 파악하여 열화 메카니즘을 분석하였다. MgTiO3는 마이크로파 영역에서의 우수한 유전특성과 같은 낮은 유전손실을 가지며, 온도 안정성 또한 우수하다. 현재까지 벌크 세라믹 MgTiO3 의 응용 광범위하게 연구되어 왔으나 박막의 제조공정 및 전기적 특성 분석은 미흡한 형편이다. 따라서 벌크 세라믹과는 특성이 상이한 박막의 전기적 특성분석 및 연구가 필요하다. 분석을 위한 소자의 기본 구조로서 Metal-Insulator-Semiconductor(MIS) 구조를 채택하였다. MgTiO3 박막을 증착하기 위한 기판으로는 n형 Si(100)기판과 p형 Si(100)기판을 사용하였고, Si 기판 위에 급속 열처리기 (RTP)를 이용하여 SiO2를 ~100 두께로 성장시킨 것과 성장시키지 않은 것으로 구분하여 제작하였다. MgTiO3 박막은 펄스 레이저 증착 방식(PLD)에 의하여 약 2500 두께로 증착되었으며, 200mTorr 압력의 산소 분위기 하에서 기판의 온도를 40$0^{\circ}C$~55$0^{\circ}C$까지 5$0^{\circ}C$간격으로 변화시키며 제작하였다. 상하부의 전극 금속으로는 Al을 이용하였으며, 열증발 증착기로 증착하였다. 증착된 MgTiO3 박막의 결정구조를 확인하기 위하여 XRD 분석을 수행하였으며, 박막의 전기적 특성을 분석하기 위해 Boonton7200 C-V 측정기와 HP4140P를 이용한 경우에는 C-V 곡선에 이력현상이 나타났으나, MgTiO3/SiO2를 이용한 경우에는 이력현상이 나타나지 않았고, 유전율은 감소하는 것으로 나타났다. I-V 측정 결과, 절연층으로 MgTiO3/SiO2를 이용한 경우에는 MgTiO3만을 절연층으로 사용한 경우에 비해 동일한 전계에서 낮은 누설전류 값을 가짐을 알 수 있었다. 또한 박막의 증착온도가 증가함에 따라서 C-V 곡선의 위치가 양의 방향으로 이동함을 확인하였다. 위의 현상은 기판의 종류에 관계없이 발생하는 것으로 보아 벌크 또는 계면에 존재하는 결함에 의한 것으로 추정된다. 현재 C-V 곡선의 이동 원인과 I-V 곡선의 누설전류 메카니즘을 분석 중에 있으며 그 결과를 학회에서 발표할 예정이다.

  • PDF

a-SiGe:H 박막의 고상결정화에 따른 주요 결험 스핀밀도의 변화

  • 노옥환;윤원주;이정근
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2000.02a
    • /
    • pp.78-78
    • /
    • 2000
  • 다결정 실리콘-게르마늄 (poly-SiGe)은 태양전지 개발에 있어서 중요한 물질이다. 우리는 소량의 Ge(x=0.05)으로부터 다량의 Ge(x=0.67)을 함유한 수소화된 비정질 실리콘-게르마늄 (a-SiGe:H) 박막의 고상결정화 과정을 ESR (electron spin resonance)방법으로 조사해보았다. 먼저 PECVD 방법으로 Corning 1737 glass 위에 a-Si1-xGex:H 박막을 증착시켰다. 증착가스는 SiH4, GeH4 가스를 썼으며, 기판온도는 20$0^{\circ}C$, r.f. 전력은 3W, 증착시 가스압력은 0.6 Torr 정도이었다. 증착된 a-SiGe:H 박막은 $600^{\circ}C$ N2 분위기에서 다시 가열되어 고상결정화 되었고, 결정화 정도는 XRD (111) peak의 세기로부터 구해졌다. ESR 측정은 상온 x-band 영역에서 수행되었다. 측정된 ESR스팩트럼은 두 개의 Gaussian 함수로써 Si dangling-bond와 Ge dangling-bond 신호로 분리되었다. 가열 초기의 a-SiGe:H 박막 결함들의 스핀밀도의 증가는 수소 이탈에 기인하고, 또 고상결정화 과정에서 결정화된 정도와 Ge-db 스핀밀도의 변화는 서로 깊은 상관관계가 있음을 알 수 있었다. 특히 Ge 함유량이 큰 박막 (x=0.21, 0.67)에서 뿐만 아니라 소량의 Ge이 함유된 박막(x=0.05)에서도 Ge dangling-bond가 Si dangliong-bond 보다 고상결정화 과정에서 더 중요한 역할을 한다는 것을 알수 있었다. 또한 초기 열처리시 Si-H, Ge-H 결합에서 H의 이탈로 인하여 나타나는 Si-dangling bond, Ge-dangling bond 스핀밀도의 최대 증가 시간은 x 값에 의존하였는데 이러한 결과는 x값에 의존하는 Si-H, Ge-H 해리에너리지로 설명되어 질 수 있다. 층의 두께가 500 미만인 커패시터의 경우에 TiN과 Si3N4 의 계면에서 형성되는 슬릿형 공동(slit-like void)에 의해 커패시터의 유전특성이 파괴된다는 사실을 알게 되었으며, 이러한 슬릿형 공동은 제조 공정 중 재료에 따른 열팽창 계수와 탄성 계수 등의 차이에 의해 형성된 잔류응력 상태가 유전막을 기준으로 압축응력에서 인장 응력으로 바뀌는 분포에 기인하였다는 사실을 확인하였다.SiO2 막을 약화시켜 절연막의 두께가 두꺼워졌음에도 기존의 SiO2 절연막의 절연 파괴 전압 및 누설 전류오 비교되는 특성을 가졌다. 이중막을 구성하고 있는 안티퓨즈의 ON-저항이 단일막과 비교해 비슷한 것을 볼 수 잇는데, 그 이유는 TiO2에 포함된 Ti가 필라멘트에 포함되어 있어 필라멘트의 저항을 감소시켰기 때문으로 사료된다. 결국 이중막을 구성시 ON-저항 증가에 의한 속도 저하 요인은 없다고 할 수 있다. 5V의 절연파괴 시간을 측정한느 TDDB 테스트 결과 1.1$\times$103 year로 기대수치인 수십 년보다 높아 제안된 안티퓨즈의 신뢰성을 확보 할 수 있었다. 제안된 안티퓨즈의 이중 절연막의 두께는 250 이고 프로그래밍 전압은 9.0V이고, 약 65$\Omega$의 on 저항을 얻을수 있었다.보았다.다.다양한 기능을 가진 신소재 제조에 있다. 또한 경제적인 측면에서도 고부가 가치의 제품 개발에 따른 새로운 수요 창출과 수익률 향상, 기존의 기능성 안료를 나노(nano)화하여 나노 입자를 제조, 기존의 기능성 안료에 대한 비용 절감 효과등을 유도 할 수 있다. 역시 기술적인 측면에서도 특수소재 개발에 있어 최적의 나노 입자 제어기술 개발 및 나노입자를 기능성 소재로 사용하여 새로운 제품의 제조와 고압 기상 분사기술의 최적화에 의한 기능성 나노 입자 제조 기술을 확립하고 2차 오염 발생원인 유기계 항균제를 무기계 항균제로 대체할 수 있다. 이와 더불

  • PDF

Improvement of Photoelectrochemical Properties through Activation Process of p-type GaN (p-type GaN의 Activation을 통한 광전기화학적 특성 향상)

  • Bang, Seung Wan;Kim, Haseong;Bae, Hyojung;Ju, Jin-Woo;Kang, Sung-Ju;Ha, Jun-Seok
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.24 no.4
    • /
    • pp.59-63
    • /
    • 2017
  • The n-type GaN semiconductor has excellent properties as a photoelectrode, but it has disadvantage that its reliability is deteriorated due to the photocorrosion because the oxygen reaction occurs on the surface. For this reason, there are fundamental attempts to avoid photocorrosion reaction of GaN surfaces by using the p-type GaN as a photoelectrode where hydrogen generation reaction occurs on the surface. However, p-type GaN has a problem of low efficiency because of its high resistivity and low hole mobility. In this study, we try to improve the photocurrent efficiency by activation process for the p-type GaN. The p-type GaN was annealed for 1 min. at $500^{\circ}C$ in $N_2$ atmosphere. Hall effect measurement system was used for the electrical properties and potentiostat (PARSTAT4000) was used to measure the photoelectrochemical (PEC) characteristics. Consequently, the photocurrent density was improved more than 1.5 times by improving the activation process for the p-type GaN. Also, its reliability was maintained for 3 hours.

A Study on the Fabrication of Porous Sintered Materials for Glass Mold (유리 금형용 다공질 소결재의 제조에 관한 연구)

  • Jang Tae-Suk;Lim Tae-Whan
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.6 no.6
    • /
    • pp.468-472
    • /
    • 2005
  • In order to prevent adhering of molten glass on a mold wall, the wall is swabbed with lubricant oil before forming. However, the swabbing process can be removed from the entire processes of the glass forming if the mold wall is made of a porous sintered material. The purpose of the present study is to manufacture a sintered material(having a sintered density of $85{\~}90\%$)which is the most appropriate into. plane material for a glass mold. For the research, SUS310L-based coarse powder (${\~}150{\mu}m$) and SUS420J2-based fine powder ($40{\~}50{\mu}m$) were used for the compact materials, and effects of compaction pressure and sintering condition(atmosphere, temperature) were investigated. The results obtained were as fellows. (1) By means of solid phase sintering, a desired sintering density could not be achieved in any case when using a 310L-based powder having a large particle size. (2) When sintering green compacts(compaction pressure of $2ton/cm^2$) in a commercial vacuum furnace(at $1300^{\circ}C$ for 2 hours), the sintered compacts had densities of $6.2g/cm^3(79\%)$ for 310L + 0.03$\%$B, $6.6g/cm^3 (86\%)$ for 420J2, $7.3g/cm^3(95\%)$ for 420J2+(0.03)$\%$B, and $7.6g/cm^3(99\%)$ for 420j2+(0.06)$\%$B, respectively. As a result, it is regarded that sintered compacts having a desired porosity may be achieved by vacuum sintering the 420J2-based powder (low pressure compaction) and the 310L+0.03$\%$B-based powder (high pressure compaction).

  • PDF

Mesh/grid 기반 투명 전극의 구조 최적화

  • Yun, Min-Ju;Kim, Gyeong-Heon;Park, Sang-Yeong;Kim, Hui-Dong;An, Ho-Myeong;Kim, Tae-Geun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.411-412
    • /
    • 2013
  • 최근 UV LED는 생화학 및 의료 산업에서 많은 각광을 받고 있다. 특히, 360nm 이하의 파장대를 갖는 UV LED는 치료 기술, 센서, 물이나 공기 등의 정화와 같은 목적으로 특별한 관심이 쏠리고 있다 [1]. 이러한 지속적인 연구를 통하여 현재까지 UV LED는 거대한 성장을 이루어 왔다. 하지만 이러한 노력에도 불구하고, 360 nm 이하의 UV LED는 여전히 오믹 접촉과 전류 분산이 원활하지 못하다는 문제점을 가지고 있다. 이것은 UV LED의 외부 양자 효율을 감소시키고, 더 나아가 극도로 낮은 광 추출 효율을 초래한다. 최근 이러한 문제를 해결하고자, 투명 전도성 산화물(TCO)을 금속 전극과 p-AlGaN 사이에 삽입해주는데, 현재 가장 널리 사용되는 TCO 물질은 ITO 이다 [2]. 하지만 ITO 물질은 상대적으로 작은 밴드갭(3.3~4.3 eV)과 단파장 빛이 가지는 큰 에너지로 인하여 deep-UV 영역에서는 빛이 투과하지 못하고 대부분 흡수된다 [3]. 따라서 본 연구에서는 기존의 박막형 ITO 투명 전극에 비해 투과도 손실을 최소화할 수 있는 mesh, grid 기반의 투명전극을 연구하였다. Fig. 1과 같이 $5{\mu}m$, $10{\mu}m$, $20{\mu}m$ 간격으로 이루어진 mesh, grid 구조의 투명전극을 구현하여 투과도 손실을 최소화하면서 우수한 전기적 특성을 확보하기 위한 구조 최적화 연구를 진행하였다. 본 연구를 위해 mesh, grid 구조의 ITO 전극 패턴을 photolitho 공정으로 형성하였으며, e-beam 증착법으로 60 nm 두께의 ITO 전극을 형성 후 질소 분위기/$650^{\circ}$에서 30초 동안 RTA 공정을 진행하였다. Fig. 1에서 볼 수 있듯이 mesh, grid의 간격이 증가할수록 투명 전극이 차지하는 면적이 감소하여 투과도는 향상되는 반면, 투명 전극과 p-GaN과의 접촉 면적 또한 감소하므로 오믹 특성이 저하된다. 따라서 투과도 손실을 최소화하면서 우수한 전기적 특성을 확보하기 위해 mesh는 $20{\mu}m$, grid는 $10{\mu}m$ 간격의 구조로 각각 최적화하였다. 그 결과 박막 기반의 ITO 투명전극 대비 최대 약 10% 향상된 투과도를 확보하였으며, I-V Curve 결과를 통하여 p-GaN 기판과 mesh 구조의 ITO 전극 사이에 박막 기반의 투명 전극과 비슷한 수준인 $0.35{\mu}A(@5V)$의 전기적 특성을 확보하였다. 결과적으로 mesh, grid 기반 투명전극의 구조 최적화를 통하여 p-GaN과 원활한 오믹 접촉을 형성하는 동시에 기존 박막형 ITO 투명 전극 구조보다 높은 투과도를 확보할 수 있었다.

  • PDF

A Study on Optimization of Process Parameters in Zone Melting Recrystallization Using Tungsten Halogen Lamp (텅스텐 할로겐 램프를 사용하는 ZMR공정의 매개변수 최적화에 관한 연구)

  • Choi, Jin-Ho;Song, Ho-Jun;Lee, Ho-Jun;Kim, Choong-Ki
    • Korean Journal of Materials Research
    • /
    • v.2 no.3
    • /
    • pp.180-190
    • /
    • 1992
  • Some solutions to several major problems in ZMR such as agglomeration of polysilicon, slips and local substrate melting are described. Experiments are performed with varying polysilicon thickness and capping oxide thickness. The aggmeration can be eliminated when nitrogen is introduced at the capping oxide layer-to-polysilicon interface and polysilicon-to-buried oxide layer interface by annealing the SOI samples at $1100^{\circ}$ in $NH_3$ ambient for three hours. The slips and local substrate melting are removed when the back surface of silicon substrate is sandblasted to produce the back surface roughness of about $20{\mu}m$. The subboundary spacing increases with increasing polysilicon thickness and the uniformity of recrystallized SOI film thickness improves with increasing capping oxide thickness, improving the quality of recrystallized SOI film. When the polysilicon thickness is about $1.0{\mu}m$ and the capping oxide thickness is $2.5{\mu}m$, the thickness variation of the recrystallized SOI film is about ${\pm}200{\AA}$ and the subboundary spacing is about $70-120{\mu}m$.

  • PDF