Browse > Article
http://dx.doi.org/10.5762/KAIS.2017.18.1.21

Edge Detection System for Noisy Video Sequences Using Partial Reconfiguration  

Yoon, Il-Jung (Department of Electronics and Computer Engineering, Hongik University)
Joung, Hee-Won (Department of Electronics and Computer Engineering, Hongik University)
Kim, Seung-Jong (Department of Computer Science & Information System, Hanyang Women's University)
Min, Byong-Seok (Department of Digital Electronic Communications, Chung Cheong University)
Lee, Joo-Heung (Department of Electronics and Computer Engineering, Hongik University)
Publication Information
Journal of the Korea Academia-Industrial cooperation Society / v.18, no.1, 2017 , pp. 21-31 More about this Journal
Abstract
In this paper, the Zynq system-on-chip (SoC) platform is used to design an adaptive noise reduction and edge-detection system using partial reconfiguration. Filters are implemented in a partially reconfigurable (PR) region to provide high computational complexity in real-time, 1080p video processing. In addition, partial reconfiguration enables better utilization of hardware resources in the embedded system from autonomous replacement of filters in the same PR region. The proposed edge-detection system performs adaptive noise reduction if the noise density level in the incoming video sequences exceeds a given threshold value. Results of implementation show that the proposed system improves the accuracy of edge-detection results (14~20 times in Pratt's Figure of Merit) through self-reconfiguration of filter bitstreams triggered by noise density level in the video sequences. In addition, the ZyCAP controller implemented in this paper enables about 2.1 times faster reconfiguration when compared to a PCAP controller.
Keywords
Edge Detection; Partial Reconfiguration; Pratt's FOM; Salt-and-pepper noise; Zynq SoC;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Kizheppatt Vipin and Suhaib A. Fahmy, "ZyCAP: Efficient Partial Reconfiguration Management on the Xilinx Zynq", IEEE Embedded Systems Letters, vol. 6, Issue : 3, Mar. 2014. DOI: http://dx.doi.org/10.1109/LES.2014.2314390
2 Ikram E. Abdou and William K. Pratt, "Quantitative design and evaluation of enhancement/thresholding edge detectors", Proceedings of the IEEE , vol. 67 , no. 5, pp. 753-763, May 1979. DOI: https://doi.org/10.1109/PROC.1979.11325   DOI
3 J.-A. Jiang, C.-L. Chuang, Y.-L. Lu and C.-S. Fahn, "Mathematical-morphology-based edge detectors for detection of thin edges in low-contrast regions", Image Processing, IET, vol. 1, no.3 pp. 269-277, Sep. 2007. DOI: https://doi.org/10.1049/iet-ipr:20060273   DOI
4 UG907(v2015.4), "Vivado Design Suite User Guide: Power Analysis and Optimization", Xilinx, Nov. 2015.
5 Pei-Yin Chen, Chih-Yuan Lien, and Yi-Ming Lin, "A Real-time Image Denoising Chip", Circuits and Systems, ISCAS IEEE International Symposium on, pp. 3390-3393, May. 2008. DOI: http://dx.doi.org/10.1109/ISCAS.2008.4542186
6 Kizheppatt Vipin and Suhaib A. Fahmy, "ZyCAP: Efficient Partial Reconfiguration Management on the Xilinx Zynq", Embedded Systems Letters, IEEE, vol. 6, Issue: 3, pp. 41-44, Sep. 2014. DOI: https://doi.org/10.1109/LES.2014.2314390   DOI
7 XAPP1159(v1.0), Christian Kohn, "Partial Reconfiguration of a Hardware Accelerator on Zynq-7000 All Programmable SoC Devices", Xilinx, Jan. 2013.
8 Soonjong Jin, Wonki Kim and Jechang Jeong, "Fine Directional De-interlacing Algorithm Using Modified Sobel operation", Consumer Electronics, IEEE, vol.54, Issue: 2, pp. 587-862, May. 2008. DOI: http://dx.doi.org/10.1109/TCE.2008.4560171   DOI
9 Chenglong Chen, Jiangqun Ni and Jiwu Huang, "Blind Detection of Median Filtering in Digital Images: A Difference Domain based Approach", Image Processing, IEEE, vol. 22, Issue: 12, pp. 4699-4710, Aug. 2013. DOI: https://doi.org/10.1109/TIP.2013.2277814   DOI
10 P. Greisen, M. Runo, P. Guillet, S. Heinzle, A. Smolic, H. Kaeslin and M. Gross, "Evaluation and FPGE Implementation of Sparse Linear Solvers for Video Processing Applications", Circuits and Systems for Video Technology, IEEE, vol. 23, Issue: 8, pp. 1402-1407, Feb. 2013. DOI: https://doi.org/10.1109/TCSVT.2013.2244797   DOI
11 UG1165 (v2015.3), "Zynq-7000 All Programmable SoC: Embedded Design Tutorial", Xilinx, Nov. 2015.
12 XAPP890 (v1.0), Fernando Martinez Vallina, Christian Kohn, and Pallav Joshi, "Zynq All Programmable SoC Sobel Filter Implementation Using the Vivado HLS Tool", Xilinx, Sep. 2012.
13 William K. Pratt, "Digital Image Processing", PIKS Inside, Third Edition, pp. 490-494, Aug. 2000.
14 XAPP1231 (v1.1), Christian Kohn, "Partial Reconfiguration of a Hardware Accelerator with Vivado Design Suite for Zynq-7000 Ap SoC Processor", Xilinx, Mar. 2015.
15 UG821 (v12.0), "Zynq7000 All Programmable SoC Software Developers Guide", Xilinx, Sep. 2015.
16 UG902 (v2015.4), "Vivado Design Suite User Guide : High-Level Synthesis", Xilinx, Nov. 2015.
17 E, Stott, P. Sedcole, P. Y. K. Cheung, "Fault tolerant methods for reliability in FPGAs", International Conference on Field Programmable Logic and Applications, pp. 415-420, Sept. 2008. DOI: https://doi.org/10.1109/fpl.2008.4629973
18 DS190(v1.8), "Zynq-7000 All Programmable SoC Overview", Xilinx, May 2015.
19 UG585(v1.10), " Zynq-7000 All Programmable SoC Technical Reference Manual", Xilinx, Feb. 2015.
20 UG909(v2014.4), "Vivado Design Suite User Guide Partial Reconfiguration", Xilinx, Nov. 2014.
21 Naveed Imran, Ronald F. DeMara, Jooheung Lee, Jian Huang, "Self-Adapting Resource Escalation for Resilient Signal Processing Architectures", Journal of Signal Processing Systems, vol. 77, no. 3, pp. 257-280, Dec. 2014. DOI: https://doi.org/10.1007/s11265-013-0811-x   DOI
22 C. Insaurralde, "Reconfigurable computer architectures for dynamically adaptable avionics systems", IEEE Aerospace and Electronic Systems Magazine, vol. 30, pp. 46-53, Sept. 2015. DOI: https://doi.org/10.1109/MAES.2015.140077
23 UG909(v2014.4), "Vivado Design Suite User Guide Partial Reconfiguration", Xilinx, Nov. 2014.