Browse > Article
http://dx.doi.org/10.5762/KAIS.2013.14.10.5123

JTAG fault injection methodology for reliability verification of defense embedded systems  

Lee, Hak-Jae (LIGnex1)
Park, Jang-Won (LIGnex1)
Publication Information
Journal of the Korea Academia-Industrial cooperation Society / v.14, no.10, 2013 , pp. 5123-5129 More about this Journal
Abstract
In this paper, it is proposed that JTAG fault injection environment and the results of the classification techniques that the reliability of embedded systems can be tested. As applying these, this is possible to quantitative analysis of vulnerable factor for system. The quantitative analysis for the degree of vulnerability of system is evaluated by faults errors, and failures classification schemes. When applying these schemes, it is possible to verify process and classify for fault that might occur in the system.
Keywords
JTAG; Fault; Error; Failure; Fault injection;
Citations & Related Records
연도 인용수 순위
  • Reference
1 P.Shivakumar, M.Kistler, S.W.Keckler, D.Burger, and L.Alvisi, "Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic", in International Conference on Dependable systems and Networks, pp.389-398, June 2002.
2 Madeira.H, Rela, M., Moreira, F,. and Silva, J., "RIFLE : A General Purpose Pin-level Fault Injector", 1st european Dependable Computing Conf, pp199-216, 1994.
3 Yangyang Yu, Barry W. Johnson, "Fault Injection Techniques", Kluwer Academic Publisher, pp7-39, 2003.
4 K. K. Goswami, R. K. Iyer. "DEPEND : A Simulation-Based environment for system Level Dependability Analysis." IEEE Transactions on Computers, vol.46 1997, pp.60-74. DOI: http://dx.doi.org/10.1109/12.559803   DOI   ScienceOn
5 Christian Esposito, "Hands on the ISO 26262 Standard", pp168-174, 2010.
6 R. Chillarege, "Orthogonal Defect Classification", Ch. 9 of "Handbook of S/W Reliability Engineering", M. Lyu Ed., IEEE Computer Society Press, McGraw-Hill, 1995.
7 J. Duraes, H. Madeira, "Emulation of S/W Faults by Educated Mutations at Machine-Code Level", Proceedings of the Thirteenth IEEE International Symposium on S/W Reliability Engineering, ISSRE'02, November 2002, Annapolis MD, USA.
8 Ang Jin, Jian-hui Jiang, "Fault Injection Scheme for Embedded systems at Machine Code Level and Verification", 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing. DOI: http://dx.doi.org/10.1109/PRDC.2009.68   DOI
9 Michail Maniatakos, "Instruction-Level Impact Analysis of Low-Level Faults in a Modern Microprocessor Controller", IEEE Transactions on Computers, Vol. 60, No. 9 September 2011. DOI: http://dx.doi.org/10.1109/TC.2010.60   DOI   ScienceOn
10 Mibench, http://www.eecs.umich.edu/mibench/
11 K.J.Heo, "A Study for N-version Programming reliability Model Using Neural Net", Kyung-nam Univ., 1996.
12 X.Li, S.V.Adve, P.Bose, and J,A,Rivers, "Architecture- Level Soft Error Analysis: Examining the Limits of Common Assumptions", in International Conference on Dependable systems and Networks(DSN), pp.266-275, 2007.
13 Shubu Mukherjee, "Architecture Design for Soft Errors", pp.146-150, Morgan Kaufmann Publishers, 2007.