Browse > Article
http://dx.doi.org/10.1016/j.net.2017.09.002

Understanding radiation effects in SRAM-based field programmable gate arrays for implementing instrumentation and control systems of nuclear power plants  

Nidhin, T.S. (Indira Gandhi Centre for Atomic Research, Homi Bhabha National Institute)
Bhattacharyya, Anindya (Indira Gandhi Centre for Atomic Research, Homi Bhabha National Institute)
Behera, R.P. (Indira Gandhi Centre for Atomic Research, Homi Bhabha National Institute)
Jayanthi, T. (Indira Gandhi Centre for Atomic Research, Homi Bhabha National Institute)
Velusamy, K. (Indira Gandhi Centre for Atomic Research, Homi Bhabha National Institute)
Publication Information
Nuclear Engineering and Technology / v.49, no.8, 2017 , pp. 1589-1599 More about this Journal
Abstract
Field programmable gate arrays (FPGAs) are getting more attention in safety-related and safety-critical application development of nuclear power plant instrumentation and control systems. The high logic density and advancements in architectural features make static random access memory (SRAM)-based FPGAs suitable for complex design implementations. Devices deployed in the nuclear environment face radiation particle strike that causes transient and permanent failures. The major reasons for failures are total ionization dose effects, displacement damage dose effects, and single event effects. Different from the case of space applications, soft errors are the major concern in terrestrial applications. In this article, a review of radiation effects on FPGAs is presented, especially soft errors in SRAM-based FPGAs. Single event upset (SEU) shows a high probability of error in the dependable application development in FPGAs. This survey covers the main sources of radiation and its effects on FPGAs, with emphasis on SEUs as well as on the measurement of radiation upset sensitivity and irradiation experimental results at various facilities. This article also presents a comparison between the major SEU mitigation techniques in the configuration memory and user logics of SRAM-based FPGAs.
Keywords
Configuration Memory; Fault Tolerance; FPGAs; Nuclear Power Plant I&C Systems; Radiation Effects; Single Event Effects; Single Event Upset; SEU Mitigation; Soft Errors; TID Effects;
Citations & Related Records
연도 인용수 순위
  • Reference
1 H. Ebrahimi, M. SahebZamani, A. Razavi, A switch box architecture to mitigate bridging and short faults in SRAM-based FPGAs, in: Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Kyoto, Japan, 6-8 Oct, 2010, pp. 128-134.
2 J.Y. Lee, Z. Feng, L. He, In-place decomposition for robustness in FPGA, in: Proc. IEEE/ACM International Conference on Computer-aided Design, San Jose, CA, USA, 7-11 Nov, 2010, pp. 143-148.
3 Z. Feng, Y. Hu, L. He, R. Majumdar, IPR: In-place reconfiguration for FPGA fault tolerance, in: Proc. IEEE/ACM Int'l Conf. On Computer-aided Design, San Jose, CA, USA, 2-5 Nov, 2009, pp. 105-108.
4 Anurag Tiwari, Karen A. Tomko, Enhanced reliability of finite-state machines in fpga through efficient fault detection and correction, IEEE Trans. reliability 54 (No. 3) (Sept. 2005).
5 Maico Cassel, Fernanda Lima Kastensmidt, Evaluating one-hot encoding finite state machines for SEU Reliability in SRAM-based FPGAs, in: Proceedings of the 12th IEEE International On-line Testing Symposium (IOLTS'06), Como, Italy, 10-12 July, 2006.
6 Fernanda Lima, Luigi Carro, Ricardo Reis, Designing Fault-Tolerant Systems into SRAM-based FPGAs, DAC'03, Anaheim, California, USA, 2-6 June, 2003.
7 Nand Kumar, Zacher Darren, Automated FSM error correction for single event upsets, in: Military and Aerospace Programmable Logic Device (MAPLD) International Conference, Washington, DC, USA, Sep. 2004.
8 R. Rochet, R. Leveugle, G. Saucier, Analysis and Comparison of Fault Tolerant FSM architectures based on SEC codes, in: The IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, Venice, Italy, 27-29 Oct, 1993.
9 Jiri Kvasnicka, Reliability Analysis of SRAM-based Field Programmable Gate Arrays, PhD Thesis submitted to Chech technical University in Prague, August 2013.
10 J.A. Zoutendyk, L.D. Edmonds, L.S. Smith, Characterization of multiple-bit errors from singleion tracks in integrated circuits, IEEE Trans. Nucl. Sci. 36 (6) (1989) 2267-2274.   DOI
11 R. Koga, S.H. Penzin, K.B. Crawford, W.R. Crain, Single event functional interrupt (SEFI) Sensitivity in Microcircuits, in: RADECS 97, Fourth European Conference on Components and Systems, 1997, pp. 311-318.
12 G. Allen, G. Swift, C. Carmichael, Virtex-4QV static SEU characterization summary, NASA Jet Propulsion Laboratory, Xilinx, JPL Publication, 2008, 08-16 4/08.
13 Felix siegle, Fault detection, isolation and recovery schemes for space borne reconfigurable FPGA-based systems, PhD Thesis Submitted to Department of Engineering University of Leicester, Oct. 2015.
14 S. Duzellier, Radiation effects on electronic devices in space, Aerospace Sci. Technol. 9 (1) (2005) 93-99.   DOI
15 H.T. Weaver, C.L. Axness, J.S. Fu, J.S. Binkley, J. Mansfield, RAM cell recovery mechanisms following high-energy ion strikes, IEEE Electron. Device Lett. 8 (Jan. 1987) 7-9.   DOI
16 K.-C. Wu, D. Marculescu, Clock skew scheduling for soft-error-tolerant sequential circuits, in: Automation & Test in Europe Conference & Exhibition (DATE 2010), 8-12 Mar, 2010 (Dresden, Germany).
17 Henry Selvaraj, Mariusz Rawski, Tadeusz Luba, FSM Implementation in embedded memory blocks of programmable logic devices using functional decomposition, in: Proc. Int. Conf. On Information Technology: Coding and Computing, Las Vegas, NV, USA, 5-7 April, 2002, pp. 355-360.
18 Gregory R. Allen, Farokh Irom, Leif Scheick, Sergeh Vartanian, Michael O'Connor, Heavy Ion Induced Single-Event Latchup Screening of Integrated Circuits Using Commercial Off-the-Shelf Evaluation Boards, IEEE Radiation Effects Data Workshop (REDW), 2016, pp. 1-7.
19 ECSS, Methods for the calculation of radiation received and its effects, and a policy for design margins, ESA-ESTEC, Standard ECSS-E-ST-10-12C, 2008.
20 Nathaniel Anson Dodds, Single event latchup: Hardening strategies, Triggering mechanisms, and Testing considerations, Doctoral Thesis submitted at Graduate School of Vanderbilt University, Nashville, Tennessee, USA, Dec. 2012.
21 Stephen L. Clark, TID and SEE Testing Results of Altera Cyclone Field Programmable Gate Array, Mathematics and Statistics Faculty Research & Creative Works, Missouri University of Science and Technology, 2004.
22 Andrzej Krasniewski, Concurrent error detection for FSMs designed for Implementation with embedded Memory blocks of FPGAs, in: 10th Euromicro Conference on Digital System Design Architectures, Lubeck, Germany, 29-31 Aug, 2007.
23 M. Manghisoni, L. Ratti, V. Re, V. Speziali, G. Traversi, A. Candelori, Comparison of ionizing radiation effects in 0.18 ${\mu}m$ & 0.25${\mu}m$ CMOS technologies for analog applications, IEEE trans. nuclear science 50 (6) (2003) 1827-1833.   DOI
24 T.S. Nidhin, Anindya Bhattacharyya, R.P. Behera, T. Jayanthi, K. Velusamy, Dependable system design with soft error mitigation techniques in SRAMbased FPGAs, in: Presented in i-PACT2017, VIT University, Vellore, India, 21-22 April 2017.
25 SOOS, Csaba (European Organization for nuclear Research (CERN)), SEU effects in FPGA, how to deal with them?, in: 1st Combined R2- Workshop & School-Days, 2 June 2009.
26 Prasanna Sundararajan, Scott McMillan, Brandon Blodget, Carl Carmichael, Cameron Patterson, Estimation of Single event upset Probability Impact of FPGA designs, MAPLD, 2003.
27 D.C. Mayer, R. Koga, J.M. Womack, The impact of radiationinduced failure mechanisms in electronic components on system reliability, IEEE Trans. Nucl. Sci. 54 (6) (2007) 2120-2124.   DOI
28 J.R. Brews, M. Allenspach, R.D. Schrimpf, K.F. Galloway, J.L. Titus, C.F. Wheatley, A conceptual model of a single event gaterupture in power MOSFETs, IEEE Trans. Nucl. Sci. 40 (no. 6) (1993) 1959-1966.   DOI
29 Gary Swift, Richard Katz, An experimental Survey of heavy ion induced dielectric rupture in actel Field Programmable Gate Arrays (FPGAs), IEEE Trans. Nucl. Sci. 43 (3) (1996) 967-972.   DOI
30 Fernanda Lima Kastensmidt, Evaldo Carlos Pereira Fonseca, Rafael Galhardo Vaz, OdairLelis Goncalez, Raul Chipana, Gilson Inacio Wirth, TID in flash-based FPGA: Power Supply-Current Rise and logic function Mapping effects in Propagation-delay degradation, IEEE Trans. Nucl. Sci. 58 (NO. 4) (Aug. 2011).
31 Edward Wilcox, Melanie Berg, Mark Friendlich, Joseph Lakeman, Hak Kim, Jonathan Pellish and Kenneth LaBel. A Robust Strategy for Total Ionizing Dose Testing of Field Programmable Gate Arrays. Available: https://ntrs.nasa.gov/archive/nasa/casi.ntrs.nasa.gov/20120009206.pdf.
32 RaminRoosta, A comparison of radiation hardened and radiation tolerant FPGAs for space applications, JPLD-31228, NASA electron. parts packaging program, Dec. 30, 2004.
33 Earl fuller, Michael Caffrey, Anthony Salazar, Carl Carmichael, Joe Fabula, radiation characterization, and SEU mitigation of the Virtex FPGA for space based reconfigurable computing, Available: https://www.xilinx.com/appnotes/NSREC2000.pdf.
34 M. Simons, Rapid annealing in irradiated CMOS transistors, IEEE Trans. Nucl. Sci. 21 (6) (1974) 172-178.   DOI
35 D.M. Fleetwood, L.C. Riewe, J.R. Schwank, Radiation effects at low electric fields in thermal, SIMOX, and bipolar-base oxides, IEEE Trans. Nucl. Sci. 43 (No. 6) (December 1996) 2537-2546.   DOI
36 B. Djezzar, A. Smatti, A. Amouche, M. Kechouane, Channel-length Impact on Radiation-Induced threshold-voltage shift in N-MOSFET's devices at low Gamma Rays Radiation doses, IEEE Trans. Nucl. Sci. 47 (6) (December 2000).
37 M.R. Shaneyfelt, D.M. Fleetwood, P.S. Winokur, J.R. Schwank, T.L. Meisenheimer, Effects of device scaling and geometry on MOS radiation hardness assurance, IEEE trans. Nucl. Sci. 40 (6) (Dec. 1993).
38 H.J. Barnaby, Total-ionizing-dose effects in modern CMOS technologies, IEEE Trans. Nucl. Sci. 53 (6) (Dec. 2006) 3103-3121.   DOI
39 Daniel Montgomery MacQueen, Total Ionizing Dose Effects on Xilinx Field-Programmable Gate Arrays, A Master of Science Thesis submitted to the Faculty of Graduate Studies and Research, Department of Physics Edmonton, Alberta, 2000.
40 J.H. Hohl, K.F. Galloway, Analytical model for single event burnout of power MOSFETs, IEEE Trans. Nucl. Sci. 34 (6) (1987) 1275-1280.   DOI
41 J.S. Browning, M.P. Connors, C.L. Freshman, Total dose characterization of a CMOS technology at high dose rates and temperatures, IEEE Trans. Nucl. Sci. 35 (6) (Dec. 1988).
42 P.S. Winokur, K.G. Kerris, L. Harper, Predicting CMOS inverter response in nuclear and space environments, IEEE Trans. Nucl. Sci. 30 (Issue 6) (1983) 4326-4332.   DOI
43 S.S. Rathod, A.K. Saxena, S. Dasgupta, Radiation effects in MOS-based devices and Circuits: A Review, IETE Tech. Rev. 28 (6) (Dec. 2011) 451-469.   DOI
44 P.E. Dodd, L.W. Massengill, Basic mechanisms and modeling of single-event upset in digital microelectronics, IEEE Trans. Nucl. Sci. 50 (3) (Jun. 2003) 583-602.   DOI
45 M. Violante, et al., A New Hardware/Software Platform and a new 1/E neutron source for soft error studies: testing FPGAs at the ISIS facility, IEEE trans. Nucl. Sci. 54 (4) (Aug 2007) 1184-1189.   DOI
46 R. C. Lacoe, J. V. Osborn, D. C. Mayer, S. Brown, TOtal-dose tolerance of the Commercial Taiwan Semiconductor Manufacturing Company (TSMC) 0.35-${\mu}m$ CMOS Process, the Aerospace Corporation; J. Gambles, Microelectronics Research Center.
47 IAEA TECDOC Series. Assessment of equipment capability to perform reliability under severe accident conditions. IAEA-TECDOC-1818. Available: http://www-pub.iaea.org/MTCD/Publications/PDF/TE-1818_web.pdf.
48 Lucas A. Tambara, Jorge L. Tonfat, Ricardo Reis, Fernanda L. Kastensmidt, Evaldo CF. Pereira, Rafael G. Vaz, Odair L. Goncalez, Soft error rate in SRAMbased FPGAs under neutron-induced and TID effects, in: Test Workshoplatw, 2014 15th Latin American, IEEE, 2014, pp. 1-6.
49 Xilinx, Device Reliability Report, UG116 (v10.5.1), December 19, 2016.
50 Aiman H. El-Maleh, Ayed S. Al-Qahtani, A finite state machine based fault tolerance technique for sequential Circuits, Microelectronics Reliability, Elsevier 54 (Issue 3) (March 2014) 654-661.   DOI
51 Anurag Tiwari, Karen A. Tomko, Saving power by mapping finite state machine into embedded memory blocks in FPGAs, in: IEEE Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, Paris, France, 16-20 Feb, vol. 2, 2004, pp. 916-921.
52 Shailesh Niranjan, James F. Frenzel, A simplified approach to fault tolerant state machine design for single event upsets, IEEE Trans. Reliability 45 (1) (March 1996).
53 C. Bolchini, R. Montandon, F. Salice, D. Sciuto, Design of VHDL-based totally self-checking finite state machine and data-path descriptions, IEEE Trans. Very Large Scale Integration Syst. 8 (1) (Feb. 2000).
54 S. Baloch, T. Arslan, A. Stoica, Design of a Single event upset (SEU) Mitigation technique for programmable devices, in: Proceedings of the 7th International Symposium on Quality Electronic Design (ISQED'06), San Jose, CA, USA, 27-29 March, 2006.
55 Kai-Chiang Wu, .D. Marculescu, Soft error rate reduction using redundancy addition and removal, in: Design Automation Conference, Anaheim, CA, USA, 8-13 June, 2008.
56 Kai-Chiang Wu, .D. Marculescu, Power-aware soft error hardening via selective voltage scaling, in: IEEE International Conference on Computer Design (ICCD 2008), Lake Tahoe, CA, USA, 12-15 Oct, 2008.
57 J. Heiner, B. Sellers, M. Wirthlin, J. Kalb, FPGA PR via configuration scrubbing, in: Proc. IEEE Int'l Conf. On Field Programmable Logic and Applications, 2009, pp. 99-104.
58 S. Jamuna, .V.K. Agrawal, Implementation of bistcontroller for fault detection in CLB of FPGA, in: International Conference on Devices, Circuits and Systems (ICDCS), Coimbatore, India, 15-16 March, 2012, pp. 99-104.
59 Fernanda Gusmao de Lima, Designing single event upset mitigation techniques for large SRAM-based FPGA devices, vol. 11, February 2002. PhD Thesis, Porto Alegre.
60 Christoforos N. Hadjicostis, Finite-state machine embeddings for nonconcurrent error detection and identification, J. Latex class files 1 (11) (Nov. 2002).
61 Balkaran S. Gill, Chris Papachristou, Francis G. Wolff, A new Asymmetric SRAM Cell to reduce soft errors and leakage power in FPGA, in: IEEE Conference on Design, Automation & Test in Europe Conference & Exhibition, Nice Acropolis, France, 16-20 April, 2007.
62 Jose Rodrigo Azambuja, Gabriel Nazar, Member, Paolo Rech, Member, Luigi Carro, Fernanda Lima Kastensmidt, Thomas Fairbanks, Heather Quinn, Evaluating neutron Induced SEE in SRAM-based FPGA Protected by hardware-and software-based fault tolerant techniques, IEEE Trans. Nucl. Sci. 60(6) (Dec. 2013).
63 Mattias Ohlsson, Peter Dyreklev, Karin Johansson, Peter Alfke. Neutron Single Event Upsets in SRAM based FPGAs. Xilinx Appl. note. Available: https://www.xilinx.com/appnotes/FPGA_NSREC98.pdf.
64 T.S. Nidhin, Anindya Bhattacharyya, R.P. Behera, T. Jayanthi, A Review on SEU Mitigation Techniques for FPGA Configuration Memory, Jan 2017, https://doi.org/10.1080/02564602.2016.1265905. IETE Technical Review.
65 H. Quinn, P. Graham, Terrestrial-based radiation upsets: A cautionary tale, in: Proc. IEEE 13th Annu. Symp. Field-program. Custom Comput. Mach., Apr. 2005, pp. 193-202.
66 Ian Kuon, Russell Tessier, Jonathan Rose, FPGA architecture: survey and challenges, Foundations Trends Electron. Des. Automation 2 (No. 2) (2007) 135-253.   DOI
67 N. Rollins, M. Wirthlin, M. Caffrey, P. Graham, Evaluating TMR techniques in the presence of single event upsets, in: Proc. Int'l Conf. Military and Aerospace Programmable Logic Devices, 2006, p. 63.
68 S. Srinivasan, A. Gayasen, N. Vijaykrishnan, M. Kandemir, Improving Softerror tolerance of FPGA Configuration bits, in: In Proc. IEEE/ACM International Conf., San Jose, CA, USA, 7-11 Nov, 2004, pp. 107-110.
69 H. Ebrahimi, M. SahebZamani, H. R Zarandi, Mitigating soft errors in SRAMbased FPGAs by decoding configuration bits in switch boxes, Elsevier Microelectronics J. 42 (1) (Jan. 2011) 12-20.   DOI
70 H.R. Zarandi, S.G. Miremadi, D.K. Pradhan, J. Mathew, Soft error mitigation in switch modules of SRAM-based FPGAs, in: Proc. IEEE Int'l Symposium on Circuits and Systems, New Orleans, LA, USA, 27-30 May, 2007, pp. 141-144.
71 B. Todd, S. Uznanski, Radiation Risks and Mitigation in electronic Systems, in: the proceedings of CAS-CERN Accelerator School: Power Converters, Baden, Switzerland, 7-14 May 2014, pp. 245-263. https://doi.org/10.5170/CERN-2015-003.245 (Accessed 21 Oct. 2016).
72 Xilinx Device Reliability Report, UG116 (v10.6.1), July 11, 2017. https://www.xilinx.com/support/documentation/user_guides/ug116.pdf.
73 C. Bernardeschi, L. Cassano, A. Domenici, SRAM-based FPGA systems for safety-critical applications: A survey on design standards and proposed methodologies, J. Comput. Sci. Technol. 30 (2) (Mar. 2015) 373-390, https://doi.org/10.1007/s11390-015-1530-5.   DOI
74 Saritha P. Menon, N. Sridhar, D. Thirugnana Murthy, Computer based Core Temperature Monitoring System for Prototype Fast Breeder Reactor, Bhabha Atomic Research Centre, India, 2013.
75 M. Herrera-Alzu, Lopez-Vallejo, Design techniques for Xilinx Virtex FPGA Configuration Memory Scrubbers, IEEE Trans. Nucl. Sci. 60 (1) (February 2013).
76 Xilinx, Spartan-6 FPGA Configuration User Guide, UG380 (v2.9), August 11, 2016. https://www.xilinx.com/support/documentation/user_guides/ug380.pdf (Accessed January 03, 2017).
77 R.C. Lacoe, J.V. Osborn, D.C. Mayer, S. Brown, D.R. Hunt, Total dose radiation tolerance of a commercial $0.35{\mu}m$ CMOS process, in: Radiat. Effects Data Workshop, 1998, pp. 104-110. IEEE.
78 Xilinx, 7 Series FPGAs Configuration User Guide, UG470 (v1.11), September 27, 2016. https://www.xilinx.com/support/documentation/user_guides/ug470_7Series_Config.pdf.
79 Kiran Agarwal Gupta, Dinesh K. Anvekar, V. Venkateswarlu, Modeling of short channel MOSFET devices and analysis of design aspects for power optimisation, Int. J. Model. Optimization 3 (No. 3) (June 2013).
80 R.C. Hughes, Charge carrier transport phenomena in amorphous SiO2: direct measurement of mobility and carrier lifetime, Phys. Rev. Lett. 30 (1973) 1333.   DOI
81 T.P. Ma, Paul. V. Dressendorfer, Ionizing Radiation effects in MOS Devices and Circuits, A Wiley-Interscience publication, John wiley& Sons, 1989.
82 C.E. Barnes, D.M. Fleetwood, D.C. Shaw, P.S. Winokar, Post-Irradiation Effects (PIE) in integrated circuits, IEEE trans. Nucl. Sci. 39 (3) (1992) 324-341.
83 Dariusz Markowski, The impact of radiation on electronic devices with the special consideration of neutron and gamma radiation monitoring, PhD dissertation submitted to technical University of Lodz, dept. of microelectronics & computer science, 2006.
84 R. C. Lacoe, J. V. Osborn, D. C. Mayer, S. Brown, J. Gambles, Total-dose tolerance of the Commercial Taiwan Semiconductor Manufacturing Company (TSMC) 0.35-pm CMOS Process, 2001 IEEE Radiation effects data Workshop, NSREC 2001, Workshop Record. Held in conjunction with IEEE Nuclear and Space Radiation Effects Conference, Pages 72-76.
85 L. Adams, A. Holmes-Siedle, handbook of radiation effects, Oxford University press, 2004.
86 J.S. Browning, M.P. Connors, C.L. Freshman, G.A. Finney, Total dose characterization of a CMOS technology at high dose rates and temperatures, IEEE Trans. Nucl. Sci. 35 (Issue 6) (1988) 1557-1562.   DOI
87 Heather M. Quinn, Paul S. Graham, Michael J. Wirthlin, Brian Pratt, Keith S. Morgan, Michael P. Caffrey, James B. Krone, A test methodology for determining space readiness of Xilinx SRAM-based FPGA devices and designs, IEEE Trans. Instrumentation measurement 58 (No. 10) (Oct. 2009).
88 Uros Legat, Anton Biasizzo, Franc Novak, SEU Recovery Mechanism for SRAM-based FPGAs, IEEE Trans. Nucl. Sci. 59 (5) (October 2012).
89 Zhe Feng, Naifeng Jing, GengSheng Chen, Yu Hu, Lei He, IPF: In-Place X-filling to Mitigate Soft errors in SRAM-based FPGAs, in: Proc. IEEE International Conference on Field Programmable Logic and Applications,Chania, Greece, 5-7 Sept, 2011, pp. 482-485.
90 Melanine Berg, Kenneth LaBel, Verification of Triple Modular Redundancy insertion for reliability & trusted systems, in: Microelectronics Reliability and Qualification Working Meeting (MRQW), 2016, El Segundo, CA, Feb 9-10, 2015.
91 Melanie Berg, C. Poivey, D. Petrick, D. Espinosa, Austin Lesea, K. LaBel, M. Friendlich, H. Kim, Anthony Phan, Effectiveness of internal vs. external SEU scrubbing mitigation strategies in a Xilinx FPGA: design, test, and Analysis, in: Proc. IEEE RADECS07, June 2008, pp. 1-8.
92 E.S.S. Reddy, V. Chandrasekhar, M. Sashikanth, V. Kamakoti, Detecting SEUcaused routing errors in SRAM-based FPGAs, in: Proc. 18th Int. Conf. On VLSI Design, 2005, pp. 736-741.
93 J. George, S. Rezgui, G. Swift, C. Carmichael, Initial Single-event effects testing and mitigation in the Xilinx Virtex II-Pro FPGA, The north American Xilinx Test Consortium, MAPLD 2005/P211.
94 C.C. Yui, G.M. Swift, C. Carmichael, R. Koga, J.S. George, SEU Mitigation testing of Xilinx Virtex-II FPGAs, in: IEEE proc. Radiat. effects data workshop, 25 July, 2003, pp. 92-97.
95 N. Jing, J.-Y. Lee, Z. Feng, W. He, Z. Mao, L. He, SEU fault evaluation and characteristics for SRAM-based FPGA architectures and synthesis algorithms, Article 13, ACM Trans. Des. Automation Electron. Syst. 18 (1) (Dec. 2012), https://doi.org/10.1145/2390191.2390204, 18 pages. Available.
96 Paul Graham, Michael Caffrey, Jason Zimmerman, Prasanna Sundararajan, Eric Johnson, and Cameron Patterson, Consequences and Categories of SRAM FPGA Configuration SEUs, Military and Aerospace Programmable Logic Devices International Conference, Washington DC 9/9-9/11/2003.
97 IAEA Nuclear Energy Series No. NP-T-3.17, Application of Field Programmable Gate Arrays in Instrumentation and Control Systems of Nuclear Power Plants, International Atomic Energy Agency Vienna, 2016. Available: http://www-pub.iaea.org/MTCD/Publications/PDF/Pub1701_web.pdf.
98 Catherine Menon and Sofia, Field programmable gate arrays in safety-related instrumentation and control applications, Report 112 (2015). ADELARD LLP, 2015.
99 Particle Radiation effect Mitigation Techniques in FPGAs: Synopsys application note, April 2013. Available: https://www.synopsys.com/Tools/Implementation/FPGAImplementation/Pages/fpga-application-notes.aspx.
100 RamindaUdayaMadurawe, Three-dimensional Integrated Circuits, U.S Patent 7,538,575. B. 26 May 2009.
101 G. Messenger, M. Ash, The Effects of Radiation on Electronic Systems, second ed., Van Nostrand Reinhold, New York, 1992.
102 F. Wrobel, Fundamentals of particle matter interaction, New challenges for radiation tolerance assemblies, in: Proceedings of the 8th European Conference on Radiation and its Effects on Components and Systems (RADECS), France, September 19-23, 2005, pp. 5-31.
103 J.A. Dennis, Neutron flux and energy measurements, Phys. Med. Biol. 11 (1) (1966) 1-14.   DOI
104 F.B. McLean, T.R. Oldham, Charge funneling in n and p-type Si substrates, IEEE Trans. Nucl. Sci. 29 (Dec. 1982) 2018-2023.
105 G. Barbottin, A. Vapaille, Instabilities in Silicon Devices, New Insulators Devices and Radiation Effects, vol. 3, Elsevier, 1999, pp. 2-938.
106 James E. Turner, Atoms, Radiation and Radiation Protection, Wiley, New York, 1995.
107 C. Detcheverry, C. Dachs, E. Lorfevre, C. Sudre, G. Bruguier, J.M. Palau, J. Gasiot, R. Ecoffet, SEU critical charge and sensitive area in a submicron CMOS technology, IEEE Trans. Nucl. Sci. 44 (No. 6) (1997) 2266-2273.   DOI
108 M. Nicolaidis, Soft Errors in Modern Electronic Systems, vol. 41, Springer, New York, 2011.
109 Robert C. Baumann, Radiationinduced soft errors in advanced semiconductor technologies, Device and Materials Reliability, IEEE Trans. Vol. 5 (no. 3) (2005) 305-316.   DOI
110 L.D. Edmonds, A simple estimate of funneling-assisted charge collection, IEEE Trans. Nucl. Sci. 38 (Feb. 1991) 828-833.   DOI
111 M.J. Gadlage, R.D. Schrimpf, J.M. Benedetto, P.H. Eaton, D.G. Mavis, M. Sibley, Single event transient pulse widths in digital microcircuits, IEEE Trans. Nucl. Sci. 51 (6) (2004) 3285-3290.   DOI
112 B. Narasimham, B.L. Bhuva, R.D. Schrimpf, L.W. Massengill, M.J. Gadlage, O.A. Amusan, Characterization of digital single event transient pulsewidths in 130nm and 90nm CMOS technologies, Nucl. Sci. IEEE Trans. 54 (6) (2007) 2506-2511.   DOI
113 P.E. Dodd, F.W. Sexton, G.L. Hash, M.R. Shaneyfelt, B.L. Draper, A.J. Farino, R.S. Flores, Impact of technology trends on SEU in CMOS SRAMs, IEEE Trans. Nucl. Sci. 43 (Dec.1996) 2797-2804.   DOI
114 H.T. Weaver, Soft error stability of p-well versus n-well CMOS latches derived from 2D, transient simulations, in: IEDM Tech. Dig, 1988, pp. 512-515.
115 C.L. Axness, H.T. Weaver, J.S. Fu, Mechanisms leading to single event upset, IEEE Trans. Nucl. Sci. NS-33 (6) (1986) 1577-1580.
116 P.E. Dodd, F.W. Sexton, Critical charge concepts for CMOS SRAMs, IEEE Trans. Nucl. Sci. 42 (Dec. 1995) 1764-1771.   DOI